PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
This PDF file contains the front matter associated with SPIE Proceedings Volume 9049, including the Title Page, Copyright information, Table of Contents, Introduction, and Conference Committee listing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Directed Self-Assembly (DSA) of Block Co-Polymers (BCP) has become an intense field of study as a potential patterning solution for future generation devices. The most critical challenges that need to be understood and controlled include pattern placement accuracy, achieving low defectivity in DSA patterns and how to make chip designs DSA-friendly. The DSA program at imec includes efforts on these three major topics. Specifically, in this paper the progress in DSA defectivity within the imec program will be discussed. In previous work, defectivity levels of ~560 defects/cm2 were reported and the root causes for these defects were identified, which included particle sources, material interactions and pre-pattern imperfections. The specific efforts that have been undertaken to reduce defectivity in the line/space chemoepitaxy DSA flow that is used for the imec defectivity studies are discussed. Specifically, control of neutral layer material and improved filtration during the block co-polymer manufacturing have enabled a significant reduction in the defect performance. In parallel, efforts have been ongoing to enhance the defect inspection capabilities and allow a high capture rate of the small defects. It is demonstrated that transfer of the polystyrene patterns into the underlying substrate is critical for detecting the DSA-relevant defect modes including microbridges and small dislocations. Such pattern transfer enhances the inspection sensitivity by ~10x. Further improvement through process optimization allows for substantial defectivity reduction.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Further enhancements to Monte Carlo and Self-Consistent Field Theory Directed Self-Assembly (DSA) simulation capabilities implemented in GLOBALFOUNDRIES are presented and discussed, along with the results of their applications. We present the simulation studies of DSA in graphoepitaxy confinement wells, where the DSA process parameters are varied in order to determine the optimal set of parameters resulting in a robust and etch transferrable phase morphology. A novel concept of DSA-aware assist features for the optical lithography process is presented and demonstrated in simulations. The results of the DSA simulations and studies for the DSA process using a blend of homopolymers and diblock copolymers are also presented and compared with the simulated diblock copolymer systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The first fully integrated SOI device using 42nm-pitch directed self-assembly (DSA) process for fin formation has been demonstrated in a 300mm pilot line environment. Two major issues were observed and resolved in the fin formation process. The cause of the issues and process optimization are discussed. The DSA device shows comparable yield with slight short channel degradation which is a result of a large fin CD when compared to the devices made by baseline process. LER/LWR analysis through the DSA process implied that the 42nm-pitch DSA process may not have reached the thermodynamic equilibrium. Here, we also show preliminary results from using scatterometry to detect DSA defects before removing one of the blocks in BCP.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thermal Scanning Probe Lithography (tSPL) is an AFM based patterning technique, which uses heated tips to locally evaporate organic resists such as molecular glasses [1] or thermally sensitive polymers.[2][3] Organic resists offer the versatility of the lithography process known from the CMOS environment and simultaneously ensure a highly stable and low wear tip-sample contact due to the soft nature of the resists. Patterning quality is excellent up to a resolution of sub 15 nm,[1] at linear speeds of up to 20 mm/s and pixel rates of up to 500 kHz.[4] The patterning depth is proportional to the applied force which allows for the creation of 3-D profiles in a single patterning run.[2] In addition, non-destructive imaging can be done at pixel rates of more than 500 kHz.[4] If the thermal stimulus for writing the pattern is switched off the same tip can be used to record the written topography with Angstrom depth resolution. We utilize this unique feature of SPL to implement an efficient control system for reliable patterning at high speed and high resolution. We combine the writing and imaging process in a single raster scan of the surface. In this closed loop lithography (CLL) approach, we use the acquired data to optimize the writing parameters on the fly. Excellent control is in particular important for an accurate reproduction of complex 3D patterns. These novel patterning capabilities are equally important for a high quality transfer of two-dimensional patterns into the underlying substrate. We utilize an only 3-4 nm thick SiOx hardmask to amplify the 8±0.5 nm deep patterns created by tSPL into a 50 nm thick transfer polymer. The structures in the transfer polymer can be used to create metallic lines by a lift-off process or to further process the pattern into the substrate. Here we demonstrate the fabrication of 27 nm wide lines and trenches 60 nm deep into the Silicon substrate.[5] In addition, the combined read and write approach ensures that the lateral offset between read and write field is minimized. Thus we achieve high precision in marker-less stitching of patterning fields. A 2D cross-correlation technique is used to determine the offset of a neighboring patterning field relative to a previously written field with an accuracy of about 1 nm. We demonstrate stitching of 1 μm2 fields with ~5 nm accuracy and stitching of larger 10x10 μm2 fields with 10 nm accuracy.[6]
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Within last two years, we have shown the positive-tone, development-less patterning of calixarene molecular glass resists using highly confined electric field, current-controlled scanning probe lithography scheme. Herein, we give a more detailed view insight describing the applied Scanning Probe Lithography (SPL) technology platform applying selfactuating, self-sensing cantilever. The experimental results are supported by first preliminary simulation results estimating the local electric field strength, the electron trajectories, and the current density distribution at the sample surface. In addition, the diameter of Fowler-Nordheim electron beam, emitted from SPL-tip, was calculated as function of the bias voltage for different current set-points and tip radii. In experimental part we show the reproducible writing of meander line patterns as well as the patterning of individual features using specially developed pattern generator software tool.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We present a simulation study of the near-field Extreme Ultraviolet (EUV) imaging technique to break the diffraction limit of conventional lithography for spatial frequency multiplication. Rigorous electromagnetic simulations are performed to investigate the near-field EUV imaging performance and its process capability. An optical index, depth of thickness fluctuation (DOT) is defined to characterize the tolerable variation of the imaging-layer thickness, which plays a key role in evaluating the feasibility of this lithography technology. High sensitivity of the near-field image (profile and amplitude) to both absorber CD and propagation depth is found in transverse-electric (TE) and transverse-magnetic (TM) illumination modes. Despite the attractive prospect of applying this near-field imaging technique for semiconductor manufacturing, technical challenges from its optical performance and process control are non-trivial.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Flexible electronics is a growing field and is currently maturing in applications such as displays, smart packaging, organic light-emitting diodes and organic photovoltaic cells. In order to process on flexible substrates at high throughput and large areas, novel patterning techniques will be essential. Conventional optical lithography is limited in throughput as well as resolution, and requires several alignment steps to generate multi-layered patterns, required for applications such as thin-film transistors. It therefore remains a complex and expensive process. Nanoimprint lithography is an emerging alternative to optical lithography, demonstrating patterning capabilities over a wide range of resolutions, from several microns down to a few nanometres. For display applications, nanoimprint lithography can be used to pattern various layers. Micron sized thin-film transistors for backplane can be fabricated where a self-aligned geometry is used to decrease the number of alignment steps, and increase the overlay accuracy. In addition, nano-structures can be used for optical applications such as anti-reflective surfaces and nano patterned transparent electrodes. Imprint lithography is a fully roll-to-roll compatible process and enables large area and high throughput fabrication for flexible electronics. In this paper we discuss the possibilities and the challenges of large area patterning by roll-to-roll nanoimprint lithography, reviewing micron and nano sized structures realized on our roll-to-roll equipment. Nano patterned transparent electrodes, moth-eye antireflective coatings, and multilevel structures will be covered.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extremely large-area roll-to-roll manufacturing on flexible substrates is ubiquitous for applications such as paper
and plastic processing. The challenge is to extend this approach to the realm of nanopatterning and realize similar
benefits. Display applications, including liquid crystal (LCD), organic light emitting diode (OLED) and flexible
displays are particularly interesting because of the ability to impact multiple levels in the basic display. Of particular
interest are the polarizer, DBEF, thin film transistor and color filter; roll-based imprinting has the opportunity to create
high performance components within the display while improving the cost of ownership of the panel.
Realization of these devices requires both a scalable imprinting technology and tool. In this paper, we introduce a
high volume roll-based nanopatterning system, the LithoFlex 350TM. The LithoFlex 350 uses an inkjet based imprinting
process similar to the technology demonstrator tool, the LithoFlex 100, introduced in 2012. The width of the web is
350mm and patterning width is 300mm. The system can be configured either for Plate-to-Roll (P2R) imprinting (in
which a rigid template is used to pattern the flexible web material) or for Roll-to-Plate imprinting (R2P) (in which a
web based template is used to pattern either wafers or panels). Also described in this paper are improvements to wire
grid polarizer devices. By optimizing the deposition, patterning and etch processes, we have been able to create working
WGPs with transmittance and extinction ratios as high as 44% and 50,000, respectively.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The high throughput and large area nanostructuring of flexible substrates by continuous roller processes has great potential for future custom applications like wire grid polarizers, antireflection films, or super-hydrophobic surfaces. For each application different material characteristics have to be considered, e.g. refractive index, hydrophobicity, or dry etch stability. Herein, we show experimental results of nanoimprint lithography resist developments focused on inkjetable and photo-curable resists suitable for high throughput production, especially roll-to-roll NIL. The inkjet deposition of the novel materials is demonstrated by the use of different state-of-the-art inkjet printheads at room temperature. A plate-to-plate process on silicon substrates was successfully implemented on a NPS300 nano patterning stepper with previously inkjet dispensed NIL resist. Furthermore, we demonstrate a throughput of 30 m min-1 in a roller NIL process on PET. Dry etching of unstructured thin films on Si wafers was performed, and it was demonstrated that the etch stability in Si is tunable to a value of 3.5:1 by a concise selection of the resist components. The surface roughness of the etched films was measured to be < 2 nm, after etching of around 100 nm of the resist films what is an essential factor for a low line edge roughness. All resists reported herein can be deposited via inkjet dispensing at room temperature, are suitable for continuous high throughput imprinting on flexible substrates, and are applicable in step-wise NIL processes with good etch resistance in dry etch processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Technologies for pattern fabrication on a flexible substrate are being developed for various flexible devices. A patterning technique for a smaller pattern of the order of sub-100 nm will be needed in the near future. Roll-to-roll Nano-Imprint Lithography (RtR-NIL) is a promising candidate for extremely low-cost fabrication of large-area devices in large volumes. A residual layer thickness (RLT) of a pattern transferred by RtR-NIL distributes at around several micrometers or more. We tried to thin the RLT below 100 nm and confirmed the controllability of the RLT and its deviation in the patterned sample.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In a first study to analyze the feasibility of Electron Beam Induced Deposition (EBID) for creating certain patterns in advanced lithography, line patterns were fabricated on silicon wafers using EBID. The growth conditions were such that the growth rate is fully determined by the electron flux (the current limited growth regime). It is experimentally verified that different patterning strategies, such as serial versus parallel patterning and single pass patterning versus multiple pass patterning, all lead to the same result in this growth regime. Images of EBID lines, imaged in a scanning electron microscope, were analyzed to determine the position of the lines, the width of the lines and the line edge roughness (LER). The results are that the lines have an average width of 13.7 nm, an average standard deviation of 1.6 nm in the center position of the lines, and an average LER of 4.5 nm (1σ value). As an example of the capabilities of EBID a logicresembling lithography pattern was fabricated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
James H. Smith II, Nigel Crosland, Samuel Doran, Robert C. Dowling Jr., John G. Hartley, Philip C. Hoyle, David M. P. King, Lawrence Kutcher Jr., Andrew McClelland, et al.
The Vistec VB3001 Gaussian electron-beam lithography system at the College of Nanoscale Science and Engineering (CNSE) in Albany routinely exposes 300 mm wafers to meet the requirements of nano-patterning for metrology and process tool qualification. CNSE and Vistec are partners in a continuous throughput improvement program. The second set of upgrades from this program has recently been implemented on CNSE’s VB300 and includes an increase in the maximum exposure clock frequency to 100 MHz and corresponding improvements to the sub-field deflection and beam blanker. To quantify the improvements, we have used an established suite of benchmark patterns2 to compare throughput “before and after”. These benchmark patterns show an average throughput improvement factor of 4 times over the timings at the start of the continuous improvement program.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
DSA Materials and Processes I: Joint Session with Conferences 9049 and 9051
Directed self-assembly (DSA) is a promising technique for extending conventional lithographic techniques by being able to print features with critical dimensions under 10 nm. The most widely studied block copolymer system is polystyreneblock- polymethyl methacrylate (PS-b-PMMA). The system is well understood in terms of its synthesis, properties and performance in DSA. However, PS-b-PMMA also has a number of limitations that impact on its performance and hence scope of application. The primary limitation is the low Flory-Huggins polymer-polymer interaction parameter (χ), which limits the size of features that can be printed by DSA. Another issue with block copolymers in general is that specific molecular weights need to be synthesized to achieve desired morphologies and feature sizes. We are exploring blending ionic liquid additiveswithPS-b-PMMAto increase the χ parameter. This allows smaller feature sizes to be accessed by PS-b-PMMA. Depending on the amount of additive it is also possible to tune the domain size and the morphology of the systems. These findings may expand the scope of PS-b-PMMA for DSA.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Implementation of directed self-assembly (DSA) of block copolymers (BCPs) introduces a series of engineering challenges that have not been completely addressed in previous block copolymer and lithography studies. One of the required innovations for further DSA development and implementation is the accurate simulation of specific block copolymer chemistries and their interactions with interfaces. Many of the BCP simulation tools developed so far have limitations or difficulty in terms of matching many of the common issues found in experimental BCP systems such as polydispersity and different statistical segment lengths. One of the potentially most important issues is the fact that real BCPs often have block energy and/or density asymmetry, meaning that each block has a different homopolymer density and/or cohesive energy density (CED). A simulation of BCP behavior and DSA processes based on molecular dynamics (MD) of coarse-grained polymer chains has been developed that can independently parameterize and control the density and CED of each block to more accurately match the asymmetry found in experimental BCPs. This model was used to study the effect of block asymmetry on the order-disorder transition (ODT), domain scaling, and self-assembly of thin films of BCPs. BCPs whose blocks each have a different density show deviations from the mean-field ODT coexistence curve, exhibiting an order-disorder transition or co-existence curve that is asymmetric with shifts and tilts in the direction of majority highest density block. This impact of density and cohesive energy differences diblock copolymers on their phase behavior can explain some of the unexpected shapes found experimentally in BCP ODT curves. Asymmetry in the BCP block energy or density does not appear to have a significant effect on domain scaling behavior compared to the mean-field estimates. Self-assembly of thin films of BCPs with mismatches in CED shows significant deviations in the expected morphologies from ones simulated using equivalent densities and cohesive energy densities. The lowest CED block has a strong propensity to segregate to and “wet” the free interface at the top of the film because it has the lowest energy penalty for the loss of interactions with other chains at the free surface relative to the bulk. This gives rise to an effective “skinning” of the film by the lowest CED block for almost the entire potential range of underlayer compositions and film thicknesses. Such materials will be extremely difficult to successfully pattern transfer for a lithographically useful process because they will not form vertically aligned morphologies through the entire film thickness. This CED mismatch also gives rise to a large number of non-bulk morphologies and deviations from bulk behavior including changing vertical-to-horizontal morphologies through film depth, compression and expansion of domain sizes to match film thickness dimensions, and island and hole formation among others. Increasing the χN value can potentially suppress some of these non-idealities due to CED asymmetry, but the required χN to overcome these issues will differ from polymer to polymer depending on the magnitude of the CED asymmetry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The properties of cylindrical poly(styrene-b-methylmethacrylate) (PS-b-PMMA) BCPs self-assembly in thinfilms are studied when the pure BCPs are blended either with a homopolymer or with another cylindrical PS-b-PMMA based BCP. For both of these approaches, we show that the period of the self-assembled features can be easily tuned and controlled, and that the final material presents interesting characteristics, such as the possibility to achieve thicker defects-free films, as compared to pure block-copolymers having the same period. Moreover, a statistical defectivity study based on a Delaunay triangulation and Voronoi analysis of the self-assemblies made with the different blends is described, and prove that despite their high value of polydispersity index, these blends exhibit also improved selfassembly properties (bigger monocrystalline arrangements and enhanced kinetics of defects annihilation) as compared to pure and monodisperse block-copolymers. Finally, the behavior of the blends is also compared to the ones their pure counter-part in templated approach like the contact-hole shrink to evaluate their respective process-window and response toward this physical constrain for lithographic applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
DSA Materials and Processes II: Joint Session with 9049 and 9051
Directed self-assembly (DSA) of block copolymers (BCPs) is one of candidate for next generation patterning technique. Many good demonstrations of DSA have been reported using polystyrene-block-poly(methyl methacrylate) (PS-b- PMMA) these days. On the other hands, BCPs which show high chi parameter are being developed because the BCPs can be formed smaller features than PS-b-PMMA. Si-containing BCPs are one of them. Moreover Si-containing BCPs show higher etch selectivity than PS-b-PMMA because of higher etch resistance of Si-containing block. Unfortunately, while Si-containing BCPs can be aligned by solvent annealing, they but cannot be aligned perpendicular to the substrate by thermal annealing. Because Si-containing block which has low surface energy achieves maximum interaction with air interface by forming a top parallel wetting layer to the substrate. One solution to control of surface energy on top surface is the use of Top-Coat (TC). It has been already demonstrated that TC with Si-containing BCP could form perpendicular pattern. The challenges are TC coating onto BCP film and TC stripping after annealing. In order to solve these problems, polarity-changeable type TC has been developed. The effect of TC materials to generate finger print of BCP has been reported. However, this TC process should combine with DSA process to form aligned patterns. Graphoepitaxy is one of the DSA technique to align BCP pattern using guide pattern. In this technique, the characteristic of guide pattern side wall is very important to control BCP pattern alignment for the Graphoepitaxy process. Also, in order to establish the process, there are two key parameters for the materials. One is BCP and guide pattern should have enough resistance to TC solvent through TC coating process. The other is TC can be removed easily with basic aqueous solution before BCP patterning. In this report, a detail of examination for TC Graphoepitaxy process will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Careful control and reproducibility of BCP’s synthesis are mandatory parameters to push-down PS-b-PMMA block-copolymer systems toward its lowest dimensions for microelectronic applications. The self-assembly process optimization of different high-resolution cylindrical PS-b-PMMA block-copolymers (i.e. L0 period below 25 nm) is studied to highlight processes-variations as regard to more classical PS-b-PMMA systems while the characterizations of bulk materials provide deeper insights on the parameters addressing the self-assembly of such materials. The integration of a high-resolution BCP on 300 mm track is then studied to check the capabilities of such materials in terms of lithographic applications. CD uniformity measurements in contact hole shrink approach, as well as the transfer of the BCP mask into typical industrial under-layer stacks leading to aggressive features, show that these materials exhibit promising potentials for advanced lithographic nodes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Daniel B. Sullivan, Thomas Boonstra, Mark T. Kief, Lily Youtt, Sethuraman Jayashankar, Carolyn Van Dorn, Harold Gentile, Sriram Viswanathan, Dexin Wang, et al.
The lithographic requirements for the thin film head industry are comparable to the semiconductor industry for certain parameters such as resolution and pattern repeatability. In other aspects such as throughput and defectivity, the requirements tend to be more relaxed. These requirements match well with the strengths and weaknesses reported concerning nanoimprint lithography (NIL) and suggest an alternative approach to optical lithography. We have demonstrated the proof of concept of using NIL patterning, in particular Jet and FlashTM Imprint Lithography (J-FILTM) 1 , to build functional thin film head devices with performance comparable to standard wafer processing techniques. An ImprioTM 300 tool from Molecular Imprints, Inc. (MII) was modified to process the AlTiC ceramic wafers commonly used in the thin film head industry. Templates were produced using commercially viable photomask manufacturing processes and the AlTiC wafer process flow was successfully modified to support NIL processing. Future work is identified to further improve lithographic performance including residual layer thickness uniformity, wafer topography, NIL→NIL overlay, and development of a large imprint field that exceeds what is available in optical lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and
Flash Imprint Lithography (J-FIL) involves the field-by-field deposition and exposure of a low viscosity resist
deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly
flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under
UV radiation, and then the mask is removed, leaving a patterned resist on the substrate.
Non-fill defectivity must always be considered within the context of process throughput. Processing steps such as
resist exposure time and mask/wafer separation are well understood, and typical times for the steps are on the order of
0.10 to 0.20 seconds. To achieve a total process throughput of 20 wafers per hour (wph), it is necessary to complete the
fluid fill step in 1.0 seconds, making it the key limiting step in an imprint process. Recently, defect densities of less than
1.0/cm2 have been achieved at a fill time of 1.2 seconds by reducing resist drop size and optimizing the drop pattern.
There are several parameters that can impact resist filling. Key parameters include resist drop volume (smaller is
better), system controls (which address drop spreading after jetting), Design for Imprint or DFI (to accelerate drop
spreading) and material engineering (to promote wetting between the resist and underlying adhesion layer). In addition,
it is mandatory to maintain fast filling, even for edge field imprinting. This paper addresses the improvements made
with reduced drop volume and enhanced surface wetting to demonstrate that fast filling can be achieved for both full
fields and edge fields. By incorporating the changes to the process noted above, we are now attaining fill times of 1
second with non-fill defectivity of ~ 0.1 defects/cm2.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Directed self-assembly (DSA) of block copolymers (BCPs) is a promising method for producing the sub-20nm features
required for future semiconductor device scaling, but many questions still surround the issue of defect levels in DSA
processes. Knowledge of the free energy associated with a defect is critical to estimating the limiting equilibrium defect
density that may be achievable in such a process. In this work, a coarse grained molecular dynamics (MD) model is used
to study the free energy of a dislocation pair defect via thermodynamic integration. MD models with realistic potentials
allow for more accurate simulations of the inherent polymer behavior without the need to guess modes of molecular
movement and without oversimplifying atomic interactions. The free energy of such a defect as a function of the Flory-
Huggins parameter (χ) and the total degree of polymerization (N) for the block copolymer is also calculated. It is found
that high pitch multiplying underlayers do not show significant decreases in defect free energy relative to a simple pitch
doubling underlayer. It is also found that χN is not the best descriptor for correlating defect free energy since
simultaneous variation in chain length (N) and χ value while maintaining a constant χN product produces significantly
different defect free energies. Instead, the defect free energy seems to be directly correlated to the χ value of the diblock
copolymer used. This means that as higher χ systems are produced and utilized for DSA, the limiting defect level will
likely decrease even though DSA processes may still operate at similar χN values to achieve ever smaller feature sizes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Today’s soaring complexity in pushing the limits of 193nm immersion lithography drives the development of other technologies. One of these alternatives is mask-less massively parallel electron beam lithography, (MP-EBL), a promising candidate in which future resolution needs can be fulfilled at competitive cost. MAPPER Lithography’s MATRIX MP-EBL platform has currently entered an advanced stage of development. The first tool in this platform, the FLX 1200, will operate using more than 1,300 beams, each one writing a stripe 2.2μm wide. 0.2μm overlap from stripe to stripe is allocated for stitching. Each beam is composed of 49 individual sub-beams that can be blanked independently in order to write in a raster scan pixels onto the wafer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Reflective electron-beam lithography (REBL) employs a novel device to impress pattern information on an electron
beam. This device, the digital pattern generator (DPG), is an array of small electron reflectors, in which the reflectance
of each mirror is controlled by underlying CMOS circuitry. When illuminated by a beam of low-energy electrons, the
DPG is effectively a programmable electron-luminous image source. By switching the mirror drive circuits
appropriately, the DPG can ‘scroll’ the image of an integrated circuit pattern across its surface; and the moving electron
image, suitably demagnified, can be used to expose the resist-coated surface of a wafer or mask. This concept was first
realized in a device suitable for 45 nm lithography demonstrations. A next-generation device has been designed and is
presently nearing completion. The new version includes several advances intended to make it more suitable for
application in commercial lithography systems. We will discuss the innovations and compromises in the design of this
next-generation device. For application in commercially-practical maskless lithography at upcoming device nodes, still
more advances will be needed. Some of the directions in which this technology can be extended will be described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The characteristics of a prototype massively parallel electron beam direct writing (MPEBDW) system are demonstrated. The electron optics consist of an emitter array, a micro-electro-mechanical system (MEMS) condenser lens array, auxiliary lenses, a stigmator, three-stage deflectors to align and scan the parallel beams, and an objective lens acting as a reduction lens. The emitter array produces 10000 programmable 10 μm square beams. The electron emitter is a nanocrystalline silicon (nc-Si) ballistic electron emitter array integrated with an active matrix driver LSI for high-speed emission current control. Because the LSI also has a field curvature correction function, the system can use a large electron emitter array. In this system, beams that are incident on the outside of the paraxial region of the reduction lens can also be used through use of the optical aberration correction functions. The exposure pattern is stored in the active matrix LSI’s memory. Alignment between the emitter array and the condenser lens array is performed by moving the emitter stage that slides along the x- and y-axes, and rotates around the z-theta axis. The electrons of all beams are accelerated, and pass through the anode array. The stigmator and the two-stage deflectors perform fine adjustments to the beam positions. The other deflector simultaneously scans all parallel beams to synchronize the moving target stage. Exposure is carried out by moving the target stage that holds the wafer. The reduction lens focuses all beams on the target wafer surface, and the electron optics of the column reduces the electron image to 0.1% of its original size.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Directed self-assembly (DSA) of block copolymers (BCP) via chemo-epitaxy is a potential lithographic solution to
patterns of dense features. The LiNe (Liu-Nealey) flow was used to fabricate the chemical pattern, which guides the BCP
due to the different wetting behavior of the materials. Fine control of both the chemical pattern chemistry and geometry
are important for DSA of BCP. Furthermore, wetting behavior considerations for DSA extend beyond pattern design and
include the surrounding region. BCP DSA would be easier to integrate into device design if the patterned region were
isolated with a featureless region (horizontal lamellar BCP assembly) rather than undirected BCP fingerprint structures.
This paper addresses two processing steps found to be modifying the guide material. For one, the backfill brush grafts to
the cross-linked polystyrene (XPS), albeit at a lower rate than the brush grafts to the exposed substrate. Undersaturating
the backfill brush only moderately improves the XPS wetting behavior, but also negatively impacts the background
region of the chemical pattern. Replacing the brush grafting functionality so that the brush grafts at lower annealing
conditions also did not avoid the side reaction between the brush and the XPS. The other step modifying the XPS is the
trim etch. Replacing the trim etch process was effective at generating a chemical pattern that can orient the BCP
horizontally on a stripe 11 L0 wide passing through a field of chemical pattern.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Pattern density multiplication using directed self-assembly (DSA) of block copolymers (BCPs) is a technique capable of
producing patterns with small pitches utilizing guiding template patterns printed as larger feature sizes and pitches. One
method for achieving this density multiplication is to utilize chemoepitaxy based on a guiding underlayer that is
nominally topographically flat but which is composed of a pinning region, or stripe if referring to lamellae, which will
chemically prefer one microphase of the BCP, as well as a second region that is often referred to as “neutral” to both
phases of the BCP. In most conceptions of such a chemoepitaxial approach for alignment of lamellae patterns, the
pinning stripe is typically the width of a single lamellae of the phase separated BCP, while the neutral stripe is some odd
number of lamellae widths. In this work, detailed simulation studies have been performed to elucidate the effects of
variables such as guiding stripe size, chemical composition of the neutral stripes, and small topography on the process
window of DSA pitch sub-division patterning processes. A simple but novel technique has been developed and utilized
to quantify the level of alignment of a simulated BCP film to an underlying guiding pattern. Such process windows and
lithographic parameters have been studied for different pitch sub-division conditions including 1:3 and 1:5 pinning
stripe:neutral stripe width ratios. It is found that the center of the processing window occurs at a composition of the
“neutral stripe” such that it is slightly to somewhat strongly preferential to the type of polymer of opposite type to that
attracted by the pinning stripe, and that this ideal “neutral stripe” composition becomes more neutral as the density
multiplication increases.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The directed self-assembly (DSA) of diblock copolymers in laterally confining channels is a promising avenue to produce line-and-space patterns with a sub-25 nm pitch. In this study, we use self-consistent field theory (SCFT) to investigate the DSA of both cylinder- and lamella-forming diblock copolymers in narrow trenches with corrugated sidewalls. Specifically, we focus on systems that form lying-down cylinder monolayers or standing-up lamellae parallel to the sidewalls of the channel. While previous experimental and computational studies highlighted well-ordered cylinders and lamellae in smooth channels, undesirable defective structures are also observed. In the present study, the wetting sidewalls of the channels are no longer planar surfaces. Rather, we consider undulating sidewalls and investigate the effect of the rough surfaces on defectivity and line edge roughness (LER) in the self-assembled morphologies. We use SCFT to investigate the formation free energy of isolated, meta-stable defects of both cylindrical and lamellar block copolymers inside channels with sinusoidal corrugations along the sidewalls. Parametric studies include the effects of the amplitude and the frequency of the sinusoidal wall shape function, the placement of the defect core, as well as the number of cylinders and lamellae in channels of varying widths. Our simulations indicate that the relative decreases in defect formation energy in rough channels compared to smooth channels are strikingly similar in both cylinder- and lamella-forming melts. Furthermore, using a suitable order parameter and the center-to-center displacement of the self-assembled lines, our complex Langevin (CL) simulations (beyond SCFT) show that the propagation of the LER is sensitive to the amplitude and the wavelength of the sidewall shape function, with an even stronger dependence in the lamellar case compared to the cylindrical case. More broadly, our study reveals the dependence of line edge roughness propagation on a wide range of parameters that must be carefully controlled in order to successfully implement a directed self-assembly process with block copolymers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The nanoimprint lithography (NIL) process with its key elements molding and thin film pattern transfer refers to the established process chain of resist-based patterning of hard substrates. Typical processes for mass-fabrication are either fast wafer-like substrate imprint or to continuous foils by roll-to-roll processes. In contrast to this, similar process chains were established for polymeric micro-elements fabricated by injection molding, particularly when surface topographies need to be integrated into monolithic polymer elements. NIL needs to be embedded into the framework of general replication technologies, with sizes ranging from nanoscopic details to macroscopic entities. This contribution presents elements of a generalized replication process chain involving NIL and demonstrates its wide application by presenting a non-typical NIL product such as an injection molded micro-cantilever. Additionally a hybrid approach combining the NIL and injection molding in a single tool is presented. Its aim is to introduce the toolbox approach for nanoreplication into NIL-based processing and to facilitate the choice of suitable processes for micro- and nanodevices. By proposing a standardized process flow as described in the NaPANIL Library of Processes, the use of establish process sequences for new applications will be facilitated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For making sub-10nm patterns, new lithography technology is proposed in this paper. This is a cost-effective new lithography process using the special organic material which is able to reduce a pattern size by shrinking. Shrinking ratio of various methods, decreasing line edge roughness (LER) and patterning of less than 10nm half pitch size are reported.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
DSA Design for Manufacturability: Joint Session with Conferences 9049, 9052, and 9053
The graphoepitaxy DSA process relies on lithographically created confinement wells to perform directed self-assembly in the thin film of the block copolymer. These self-assembled patterns are then etch transferred into the substrate. The conventional DUV immersion or EUV lithography is still required to print these confinement wells, and the lithographic patterning residual errors propagate to the final patterns created by DSA process. DSA proximity correction (PC), in addition to OPC, is essential to obtain accurate confinement well shapes that resolve the final DSA patterns precisely. In this study, we proposed a novel correction flow that integrates our co-optimization algorithms, rigorous 2-D DSA simulation engine, and OPC tool. This flow enables us to optimize our process and integration as well as provides a guidance to design optimization. We also showed that novel RET techniques such as DSA-Aware assist feature generation can be used to improve the process window. The feasibility of our DSA correction framework on large layout with promising correction accuracy has been demonstrated. A robust and efficient correction algorithm is also determined by rigorous verification studies. We also explored how the knowledge of DSA natural pitches and lithography printing constraints provide a good guidance to establish DSA-Friendly designs. Finally application of our DSA full-chip computational correction framework to several real designs of contact-like holes is discussed. We also summarize the challenges associated with computational DSA technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Application of the directed self-assembly (DSA) of block copolymer (PS-b-PMMA) to the hole shrink process has gained large attention because of the low cost and the potential for sub-lithographic patterning of contact, via and cut masks (Ref. [1-2] and references therein). In order to realize the DSA hole shrink process for manufacturing, however, one still has to resolve a few critical issues such as morphological defects and placement errors [3]. The morphological defect here indicates the PS residual layer lying between the vertical PMMA cylinder and the substrate, which prevents the PMMA cylinder from touching to the bottom surface. Such underlying defects cannot be observed by conventional approach with the top-down SEM images. In this study, we have utilized a simplified model, so-called the Ohta- Kawasaki (OK) model [4-5] to optimize the DSA hole shrink process. The advantages of the OK model are considerably low computational expense and reasonable accuracy. First, we demonstrated that the OK model could indeed predict complicated, three-dimensional morphologies of the diblock copolymer in the pre-patterned hole. All the results were computed within one minute, and they were reasonably comparable to those obtained from the self-consistent field theory (SCFT) [6]. Then, we calibrated the model parameters with the cross-sectional TEM images, minimizing the errors between the simulated thickness of PS residual layer and the experimental data. The calibrated model was used for the optimization of the guide hole shape and for the exploration of the multi-cylinder case.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An electrical test vehicle for fabricating direct self-assembly (DSA) sub-30 nm via interconnects has been fabricated employing a soft mask grapho-epitaxy contact-hole shrink. The generation of the resist pre-pattern was carried out using 193i lithography on three different stacks and the BCP assembly was evaluated with and without template affinity control on the resist pre-pattern. After DSA shrink, the holes were transferred in a 100 nm oxide for standard Tungsten metallization for electrical characterization.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have investigated the directed self-assembly (DSA) of cylinder-forming block copolymers inside cylindrical guiding templates. To complement and corroborate our experimental study, we use field-theoretic simulations to examine the fluctuations-induced variations in the size and position of the cylindrical microdomain that forms in the middle of the guiding hole. Our study goes beyond the usual mean-field approximation and self-consistent field theory simulations (SCFT) and incorporates the effects of thermal fluctuations in the description of the self-assembly process using complex Langevin (CL) dynamics. In both our experimental and modeling efforts, we focus on minor-block-attractive sidewalls and bottom substrates and neutral top surfaces and explore the properties of the formed cylinders, including fluctuations in the center position and the size of the domain, for various prepattern conditions. Our results indicate robust critical dimensions (CD) of the DSA cylinders relative to the incoming CD, with a sigma CD < 0.9nm. Likewise, we find that the DSA cylinders are accurately registered in the center of the guiding hole, with deviations in the hole-inhole distance on the order of ≈ 0.7-1nm, translating to errors in the hole-to-hole distance of ≈ 1-1.5nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Contact hole (CH) patterning by directed-self-assembly (DSA) of polystyrene-b-polymethylmethacrylate (PS-b-PMMA) block copolymers (BCPs) is extensively studied in this paper. Based on statistical analysis performed on 300mm wafers, a process window (PW) for CH shrink is experimentally evaluated in terms of hole open yield and critical dimension (CD) variation after DSA as a function of BCPs of different natural periods and guiding patterns of different dimensions. The PW allowed us to define the suitable BCP molecular weight with the best guiding CD ranges required to achieve a desired DSA hole CD within a specific tolerance. As example, for a DSA hole CD targeted at 19.5 nm with 10% tolerance, circular guiding patterns of 52 nm CD with 20% guiding CD latitude are needed using a 35nm-natural-period BCP. It is also shown that the CH shrink PW is dependent on guiding pattern pitch and on DSA process conditions such as the self-assembly annealing and spin coating conditions. In addition, the study highlights an interesting property of commensurability between guiding pattern dimensions and BCP’s natural period that governs the CH patterning by DSA for both CH shrink and CH doubling configurations. This permits to predict the guiding pattern dimensions needed for CH patterning by DSA using a given BCP of known natural period.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Directed self-assembly of block copolymers is currently being investigated as a shrinking technique complementary to lithography. One of the critical issues about this technique is that DSA induces the placement error. In this paper, study of the relation between confinement by lithography and the placement error induced by DSA is demonstrated. Here, both 193i and EUV pre-patterns are created using a simple algorithm to confine two contact holes formed by DSA on a pitch of 45nm. Full physical numerical simulations were used to compare the impact of the confinement on DSA related placement error, pitch variations due to pattern variations and phase separation defects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In recent years, the reduction in pattern size is driving the rapid adoption of nanoimprint lithography (NIL). Since nanoimprinting since is contact printing, a higher separation force might damage the master and/or the imprint tool, either of which degrades pattern quality. One of the biggest concerns in NIL utilization is the mold-release characteristic of the master and the resin. Although Optool DSXTM (DAIKIN Ind. Ltd.) is a de facto standard as mold release reagent, there is a problem with its UV-NIL durability. Accordingly, we focused on developing new fluorinated low molecular weight perfluorocompounds to enhance the mold-release characteristic of the resist. This paper reports that resists containing these fluroinated compounds offer improved durability as antistick layers for quartz molds subjected to repeated UV-NIL exposure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As a new scheme of a master-mold (imprint-mask) fabrication, half pitch (hp) 12nm lines and spaces (L/S) pattern was fabricated from hp 24nm L/S resist mandrels, which was prepared by EB writing as well as nanoimprinting, and followed by self-aligned double-pattering (SADP) technique. It was observed that line width roughness (LWR, 3 sigma value) was reduced and improved by a single and multiple nanoimprinting to make hp24nm resist mandrels in the new scheme. We have studied the phenomena and then revealed that the resist patterns of nanoimprinting had more sharp and smooth shoulders as well as bottom edges than EB resist patterns. Those seemed to be reflected to better LWR and LWR reduction by nanoimprinting. The new scheme has advantages of resolution enhancement and better pattern quality of LWR on a mold (mask) for nanoimprint lithography, with comparing to a conventional and single EB lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A structure and method for coating Nano Imprint Lithography (NIL) masks is described. The approach uses conformal ALD layering methods and sequential monomolecular depositions. The processes describe chemically bonded, high density, smooth coatings having fractional fluorine terminations. Various molecular precursor mixtures or various reactive surface site chemical functionalization schemes allow the attainment of controlled percentages of fractional F-terminations. The percentage of fluorine terminations is adjustable and controllable from 0% to 100%. Chemistries are described that result in coating layers of the order of ~1nm. These fractional F-terminated coatings may be useful for the reduction and minimization of defects in advanced imprint lithography processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The presented work deals with molecular glass resist materials based on (i) calix[4]resorcinarene resist systems, (ii) twisted fully aromatic biscarbazole-biphenyl materials, and (iii) fully aromatic spiro resist materials as new promising materials for Scanning Probe Lithography (SPL). Because of the non-chemically amplified resist nature and the absence of corresponding material diffusion, the novel SPL resists have the potential to increase the patterning resolution capabilities at a simultaneous reduction of the edge roughness (LER). In addition, these low molecular weight molecular glasses offer the advantage of solvent-free film preparation by physical vapor deposition (PVD). The PVD prepared films offer a number of advantages compared to spin coated ones such as no more pinholes, defects, or residual solvent domains, which can locally affect the film properties. These high-quality PVD films are ideal candidates for the direct patterning by SPL tools. Presented highlights are the thermal scanning probe lithography (tSPL) investigations at IBM Research - Zurich and the patterning by using electric field, current controlled scanning probe lithography (EF-CC-SPL) at the Technical University of Ilmenau. Further investigations on film forming behavior, etch resistance, and etch transfer are presented. Owing to the high-resolution probe based patterning capability in combination with their improved etch selectivity compared to reference polymeric resists the presented molecular glass resists are highly promising candidates for lithography at the single nanometer digit level.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photoinhibited superressolution (PInSR) lithography is a two--color, one-photon scheme that promises high throughput far-field patterning t deep subwwabvelength scales. Previous work ha shown that the technique susceptible to blurring from active species diffusion, an issue which we have recently overcome with the use of a low-diffiusivity methrylate resist. Here we present out first clear demonstration of superresolution, showing feature spacing 3X better than the 0.2 NA diffraction limit.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
KLA-Tencor is currently developing Reflective Electron Beam Lithography (REBL), targeted as a production worthy multiple electron beam tool for next generation high volume lithography. The Digital Pattern Generator (DPG) integrated with CMOS and MEMS lenslets is a critical part of REBL. Previously, KLA-Tencor reported on progress towards a REBL tool for maskless lithography below the 10 nm technology node. However, the MEMS lenslet structure suffered from charging up during writing, requiring the usage of a charge drain coating. Since then, the TSMC multiple e-beam team and the KLA-Tencor REBL team have worked together to further develop the DPG for direct write lithography. In this paper, we introduce a hollow-structure MEMS lenslet array that inherently prevents charging during writing, and preliminary verification results are also presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Due to resolution limitation of 1.35NA 193nm immersion lithography, double-exposure and double-patterning (DP) are
widely used in 20nm logic process. We propose to replace these DP layers with multi e-beam lithography, i.e. combining
two photo masks into one e-beam exposure layer. Our analysis suggests that current multi e-beam tool has the resolution
capability to expose these combined layers. The major concern is the mix-and-match overlay, which should be addressed
by further improvement of alignment hardware and establishment of an advanced process control system. We believe
that the mixing of e-beam and photolithography offers an alternative lithography solution for manufacturing 20nm logic
products in small volume.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the feature size of LSI shrinks, the cost of mask manufacturing and turn-around-time continue to increase. Maskless lithography using electron beam direct writing (EBDW) technology attracts attention. On the other hands, with continuous scaling and the introduction of low-k dielectrics in Cu interconnect technology, reliability degradation caused by time-dependent dielectric breakdown (TDDB) and electromigration has become important issues. Therefore, EBDW in backend process is needed to ensure superior patterning quality and reliability using high-accuracy proximity effect correction (PEC). We have already proposed a dose-modification strategy of EBDW considering reliability for TDDB degradation. In this paper, furthermore, we propose a shape-modification strategy of EBDW considering circuit performance in LSI interconnects for improving EB drawing throughput. We use effectively patterns with rounded corner in order to reduce EB shots increased by PEC and avoid the local high current density at the corner of metal lines. We applied the proposed method to a microprocessor layout synthesized with the Nangate 45nm Open Cell Library. As a result, the drawn pattern by corner rounding and coarse dose adjustment achieved 2.5% higher throughput than that by no corner rounding and fine dose adjustment.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
At the 7 nm technology node, the contact layers of integrated circuits (IC) are too dense to be printed by single exposure lithography. Block copolymer directed self-assembly (DSA) has shown its advantage in contact/via patterning with high throughput and low cost. To pattern contacts with DSA, guiding templates are usually printed first with conventional lithography, e.g., 193 nm immersion lithography (193i) that has a coarser pitch resolution. Contact holes are then patterned with DSA process. The guiding templates play the role of controlling the DSA patterns inside, which have a finer resolution than the templates. The DSA contact pitch depends on the chemical property of block copolymer and it can be adjusted within a certain range under strong lateral confinement to deviate from the natural pitch. As a result, different patterns can be obtained through different parameters. Although the guiding template shapes can be arbitrary, the overlay accuracy of the contact holes patterned are different and largely depend on the templates. Thus, the guiding templates that have tolerable variations are considered as feasible, and those have large overlays are considered as infeasible. To pattern the contact layer in a layout with DSA technology, we must ensure that all the DSA templates in the layout are feasible. However, the original layout may not be designed in a DSA-friendly way. Moreover, the routing process may introduce contacts that can only be patterned by infeasible templates. In this paper, we propose an optimization algorithm that optimize the contact layer for DSA patterning in 1D standard cell design. In particular, the algorithm modifies the layout via wire permutation technique to redistribute the contacts such that the use of infeasible templates is avoided and the feasible patterns that with better overlay control are favored. The experimental result demonstrate the ability of the proposed algorithm in helping to reduce the design and manufacturing cost of a DSA-enabled process at 7 nm technology node.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this report, morphology of cylinders by block copolymer (BCP) in the corner rounded rectangle guide patterns is
analyzed by simulation and compared with experimental results. In the case of the hole-multiplication, selection the
guide pattern size and the affinity of wall and under layer is necessary for stable micro structure. To search the good
guide conditions, Ohta-Kawasaki (OK) model and dissipative particle dynamics (DPD) are used. OK model is well
known as low cost simulation method, therefore it is expected to use for searching the good guide area roughly from
wide range. DPD is one of the strong candidates for DSA simulation, and it is used for prediction of the micro structure.
As results, the guide size area which has two PMMA cylinders by 2D OK model seems consistent with experimental
results, 3D micro structure by OK model and DPD are comparable, 3D simulations have good agreements with
experimental results observed by CD-SEM and STEM. Especially two cylinders connected each other at some point
predicted by 3D simulation is observed actually. These simulation approaches will be important to decide the lithography
mask design, film stack and pre-treatment conditions for more complex multiplication process, for example, the cut mask
application.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We use self-consistent field theory to investigate the self-assembly of AB diblock copolymers on chemically patterned substrates. The striped substrates consist of attractive domains alternating with neutral ones. Using our simulations, we observe the formation of different self-assembled morphologies in the polymer film and compute their formation free energy for various pattern widths and wetting conditions. We found that perpendicular lamellae are best formed when the A-attractive stripes have a width near half the natural lamellar period in bulk, but lamellar structures with wide bases are also formed in wide stripes. We also computed the formation energy of isolated dislocations and found that the energy cost is ≈ 50-110kT for ±15nm deviations from optimal commensurability conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The approaches to improve the performance of the DSA (directed self-assembly) computational models are being reviewed and discussed. We start by reviewing the computational complexity of a rigorous self-consistent field theory (SCFT) DSA model and also the currently utilized ways to reduce this computational complexity and improve its performance, as implemented in GLOBALFOUNDRIES DSA Solver. We proceed with presenting new approximations in the SCFT model making it possible to improve the computational performance of the DSA model further.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Ordered microstructures with nanometrically defined periodicity offer promising opportunities in microelectronic applications for the production of advanced CMOS digital logic circuits. To produce the features and arrays inherent to such technologies, the combination of the “bottom-up” block copolymer self-assembly with “top-down” guiding templates has been successfully introduced leading to new technological breakthroughs. Among the materials used in the direct self-assembly methodology, poly(styrene-b-methylmethacrylate) systems have reached an unprecedented level of maturity which will lead to their introduction into the next technological nodes. However, this system suffers from deficiencies such as a low Flory Huggins parameter (X = 0.04 at 25°C) and a low chemical contrast as regards to the etching processes which could be problematic for targeting sub-22 nm features. Consequently we have developed new systems based on Si-containing block copolymers which are characterized by high segregation strength as well as strong chemical etching contrast. In this contribution, we focus on a poly(lactic acid) / poly(dimethylsiloxane) system exhibiting a cylindrical mesostructure. By controlling the surface energy at the interface between the substrate and the block copolymer domains, we show the possibility to control the orientation of the mesostructure with a methodology comparable to the one used for poly(styrene) / poly(methyl methacrylate) system but with random copolymers having distinct monomers than the block copolymers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We proposed a new concept of “defect-aware process margin.” Defect-aware process margin was evaluated by investigating the energy difference between the free-energy of the most stable state and that of the first metastable state. The energy difference is strongly related to the defect density in DSA process. As a result of our rigorous simulations, the process margin of the pinning layer width was found to be: (1) worse when the pinning layer affinity is too large, (2) better when the background affinity has the opposite sign of the pinning layer affinity, and (3) better when the top of the background layer is higher than that of the pinning layer by 0.1L0.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We use self-consistent field theory (SCFT) to study shape rectification in overlapped cylindrical and non-cylindrical prepatterns. Specifically, we examine the potential of directed self-assembly (DSA) of block copolymers to not only reduce critical dimensions relative to the template, but also repair defects in the guiding prepatterns and produce defectfree contact holes. In our study over a wide range of prepattern dimensions, we found that defects in the central minorblock domain arise with decreasing center-to-center distance of the prepattern. Increasing the minor-block fraction in the block copolymer was observed to remove some of the defects. We also studied the effect of adding homopolymer to the block copolymer melt and show how blends can successfully eliminate defects and increase the range of the process window relative to the neat diblock case without influencing domain properties such as the critical dimension and the hole-to-hole distance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Directed Self-Assembly (DSA) is today a credible alternative lithographic technology for semiconductor industry [1]. In the coming years, DSA integration could be a standard complementary step with other lithographic techniques (193nm immersion, e-beam, extreme ultraviolet). Its main advantages are a high pattern resolution (down to 10nm), a capability to decrease an initial pattern edge roughness [2], an absorption of pattern guide size variation, no requirement of a high-resolution mask and can use standard fab-equipment (tracks and etch tools). The potential of DSA must next be confirmed viable for high volume manufacturing. Developments are necessary to transfer this technology on 300mm wafers in order to demonstrate semiconductor fab-compatibility [3-7]. The challenges concern especially the stability, both uniformity and defectivity, of the entire process, including tools and Blok Co-Polymer (BCP) materials. To investigate the DSA process stability, a 300mm pilot line with DSA dedicated track (SOKUDO DUO) is used at CEALeti. BCP morphologies with PMMA cylinders in a PS matrix are investigated (about 35nm natural period). BCP selfassembly in unpatterned surface and patterned surface (graphoepitaxy) configurations are considered in this study. Unpatterned configuration will initially be used for process optimization and fix a process of record. Secondly, this process of record will be monitored with a follow-up in order to validate its stability. Steps optimization will be applied to patterned surface configurations (graphoepitaxy) for contact hole patterning application. A process window of contact hole shrink process will be defined. Process stability (CD uniformity and defectivity related to BCP lithography) will be investigated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A multifunctional hardmask neutral layer (HM NL) was developed to improve etch resistance capabilities, enhance reflectance control, and match the surface energy properties required for polystyrene block copolymers (PS-b-PMMA). This HM NL minimizes the number of substrate deposition steps required in graphoepitaxy directed self-assembly (DSA) process flows. A separate brush layer is replaced by incorporating neutral layer properties into the hardmask to achieve microphase separation of BCP during thermal annealing. The reflection control and etch resistance capabilities are inherent in the chemical composition, thus eliminating the need for separate thin film layers to address absorbance and etch criteria. We initially demonstrated successful implementation of the HM NL using conventional PS-b-PMMA. A series of BCP formulations were synthesized with L0 values ranging from 28 nm to 17 nm to test the versatility of the HM NL. Quality “fingerprint” patterns or microphase separation using 230°-250°C annealing for 3-5 minutes was achieved for an array of modified BCP materials. The HM NL had water contact angles at 78°-80° and polarities in the 5-6 dyne/cm range. The scope of BCP platform compositions evaluated consists of a 20° water contact angle variance and a 10-dyne/cm range in polarities. All BCP derivatives were coated directly onto the HM NL followed by thermal annealing followed by SEM analysis for effective “fingerprint” patterns. We offer a simplified alternative path for high etch resistance in a graphoepitaxy DSA flow employing a single-layer hardmask for etch resistance demonstrated to be compatible with diverse BCP-modified chemical formulations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Directed self-assembly of PS-b-PMMA during laser spike annealing at peak temperatures of 300-800°C for dwells of 1- 10 ms has been explored. The enhanced mobility of polymer chains at these temperatures improves registration compared to conventional thermal anneals. PS-b-PMMA films (forming 15 nm line/space standing lamellae) were cast on chemically patterned substrates with a copolymer neutral layer and annealed by laser and hot-plate (2 minutes 250°C). Annealing by hot plate or multiple laser scans resulted in well-aligned features over micron length scales. By laser annealing multiple times, defectivity can be reduced by ~60%. However, laser annealing for only 10 ms before performing a hot plate anneal reduced defectivity by ~80%. Additionally, defects are more often localized as dislocation pairs rather than regions perpendicular to the underlying directing pattern resulting in far greater total alignment.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Line edge roughness (LER) influencing the electrical performance of circuit components is a key challenge for electronbeam
lithography (EBL) due to the continuous scaling of technology feature sizes. Controlling LER within an acceptable
tolerance that satisfies International Technology Roadmap for Semiconductors requirements while achieving high
throughput become a challenging issue. Although lower dosage and more-sensitive resist can be used to improve
throughput, they would result in serious LER-related problems because of increasing relative fluctuation in the incident
positions of electrons. Directed self-assembly (DSA) is a promising technique to relax LER-related pattern fidelity (PF)
requirements because of its self-healing ability, which may benefit throughput. To quantify the potential of throughput
improvement in EBL by introducing DSA for post healing, rigorous numerical methods are proposed to simultaneously
maximize throughput by adjusting writing parameters of EBL systems subject to relaxed LER-related PF requirements.
A fast, continuous model for parameter sweeping and a hybrid model for more accurate patterning prediction are
employed for the patterning simulation. The tradeoff between throughput and DSA self-healing ability is investigated.
Preliminary results indicate that significant throughput improvements are achievable at certain process conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Directed Self-Assembly (DSA) is considered as a potential patterning solution for future generation devices. One of the
most critical challenges for translating DSA into high volume manufacturing is to achieve low defect density in the DSA
patterning process. The defect inspection capability is fundamental to defect reduction in any process, particularly the
DSA process, as it provides engineers with information on the numbers and types of defects. While the challenges of
other candidates of new generation lithography are well known (for example, smaller size, noise level due to LER etc.),
the DSA process causes certain defects that are unique. These defects are nearly planar and in a material which produces
very little defect scattering signal. These defects, termed as “dislocation” and “disclination” have unique shapes and have
very little material contrast. While large clusters of these unique defects are easy to detect, single dislocation and
disclination defects offer considerable challenge during inspection. In this investigation, etching the DSA pattern into a
silicon (Si) substrate structure to enhance defect signal and Signal-to-Noise Ratio (SNR) is studied. We used a Rigorous
Coupled-Wave Analysis (RCWA) method for solving Maxwell’s equations to simulate the DSA unique defects and
calculate inspection parameters. Controllable inspection parameters include various illumination and collection
apertures, wavelength band, polarization, noise filtering, focus, pixel size, and signal processing. From the RCWA
simulation, we compared SNR between “Post-SiN etch” and “Post-SiN+Si-substrate etch” steps. The study is also
extended to investigate wafer-level data at post etch inspection. Both the simulations and inspection tool results showed
dramatic signal and SNR improvements when the pattern was etched into the SiN+Si substrate allowing capture of DSA
unique defect types.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Directed self-assembly (DSA) of block copolymers (BCPs) is a promising method for producing the sub-20nm features
required for future semiconductor device scaling, but many questions still surround the issue of defect levels in DSA
processes. Knowledge of the free energy associated with a defect is critical to estimating the limiting equilibrium defect
density that may be achievable in such a process. In this work, a coarse grained molecular dynamics (MD) model is used
to study the free energy of a dislocation pair defect via thermodynamic integration. MD models with realistic potentials
allow for more accurate simulations of the inherent polymer behavior without the need to guess modes of molecular
movement and without oversimplifying atomic interactions. The free energy of such a defect as a function of the Flory-
Huggins parameter (χ) and the total degree of polymerization (N) for the block copolymer is also calculated. It is found
that high pitch multiplying underlayers do not show significant decreases in defect free energy relative to a simple pitch
doubling underlayer. It is also found that χN is not the best descriptor for correlating defect free energy since
simultaneous variation in chain length (N) and χ value while maintaining a constant χN product produces significantly
different defect free energies. Instead, the defect free energy seems to be directly correlated to the χ value of the diblock
copolymer used. This means that as higher χ systems are produced and utilized for DSA, the limiting defect level will
likely decrease even though DSA processes may still operate at similar χN values to achieve ever smaller feature sizes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The patterning potential of block copolymer materials via various directed self-assembly (DSA) schemes has been demonstrated for over a decade. At cost-effective low printing doses, extreme ultra-violet lithography (EUVL) suffers from shot noise effects while patterning sub 30 nm contact hole dimensions. As the critical dimension (CD) of DSA systems is largely determined by polymer dimensions, it is theoretically expected that the local CD uniformity (LCDU) of EUVL pre-patterns can be improved by the DSA of pitch matched block co-polymers. In this work we demonstrate continued improvements on our previously reported chemo-epitaxy DSA integration flow. Also, we achieve dense arrays of contact holes via 3x and 4x frequency multiplication of EUVL patterned contact hole arrays.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Directed self-assembly (DSA) of various polymers is a potential next-generation lithography component. Lithographers can use an ArF scanner to print guide structures with pitches accessible with current technology. The DSA materials, in a non-exposure step, perform pitch multiplication of 1-D and 2-D guide structures. While research has investigated defects inherent to the DSA material, ArF scanner effects have received little attention. This work uses DSA models and scanner models to assess requirements for ArF immersion scanners for DSA complimentary lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In detailed routing for integrated circuit (IC) designs, vias are usually randomly inserted in order to connect between di erent routing layers. In the 7 nm technology node and beyond, the wire pitch is below 40 nm, and consequently, the vias become very dense, making via layer printing a challenging problem. Recently block copolymer directed self-assembly (DSA) technology has demonstrated great advantages for via layer patterning using guiding templates. To pattern vias with DSA process, guiding templates are usually printed rst with conventional lithography, e:g:, 193 nm immersion lithography (193i) that has a coarser pitch resolution. Then the guiding templates will guide the placement of the DSA patterns (e:g:, vias) inside, and these patterns have a ner resolution than the templates. Di erent template shapes have di erent control on the overlay accuracy of the inside vias. By performing DSA experiments, the guiding templates can be classi ed as feasible and infeasible templates according to the overlay requirement of the technology node. The templates that meet the overlay requirement are feasible templates, and other templates are infeasible. Without considering the DSA template constraints in detailed routing, randomly distributed vias may require infeasible templates to be patterned, which makes the via layers incompatible with the DSA process. In this paper, we propose a DSA-aware detail routing algorithm to optimize the via layers such that only feasible templates are needed for via layer patterning. In addition, among all the feasible templates, the one with better overlay accuracy has higher priority to be picked up by the router for via patterning, which further improves the yield. By enabling DSA process for via layer patterning in the 7 nm technology node, the proposed detailed routing strategy tremendously reduces the manufacturing cost and improves the throughput for IC fabrication.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Chemoepitaxy is often used to induce pattern density multiplication in the directed self-assembly (DSA) of block
copolymers (BCPs) by using a chemically patterned guiding underlayer. This underlayer is often viewed as being a flat
underlayer composed of a pinning stripe region and a neutral stripe region, where the pinning stripe is a region highly
preferential to one phase of the BCP and the neutral stripe is a region that is slightly preferential to the other phase of the
BCP that is not attracted by the pinning stripe. However, in producing these chemoepitaxial patterns, it is likely that
unexpected topography might be introduced into the system, which may adversely affect the ability for the underlayer to
guide the phase separation of the BCP film, and may deform any resulting lamellae. The current work presented in this
paper explores the effect that topography in these chemoepitaxial underlayers has on the alignment of the BCP film.
These underlayer effects have been evaluated using detailed mesoscale molecular dynamics simulations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this study, we have investigated how to link ”large-scale simulations with the simplified models” to ”mesoscale simulations with the detailed models.” For the simplified model, we have applied so-called the generalized Ohta-Kawasaki (gOK) model. Our simulation flow was implemented by two steps: 1) parallel computations of block copolymer annealing with the simplified model, 2) detailed analysis of the defects with the SCFT. The local volumetric densities of block copolymers calculated by the simplified models were used as an input for the SCFT. Then the SCFT simulations were performed under the constraints in which the density field was driven to be the one obtained from the simplified model. Using the resultant partition functions, we were able to obtain spatial distributions of the free chain ends and the connection points of the blocks. Note that the chain conformation of block copolymer is an important, but missing component of the simplified models; this multi-scale approach is expected to be useful for further understanding the origin and stability of DSA defects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We proposed the DSA simulation technique which is the combined method of two dimensional (2D) SCF and three
dimensional (3D) dissipative particle dynamics (DPD) methods by using the density biased Monte Carlo method. This
combined method has advantages of both an accuracy of SCF and fast and stably-working simulation of 3D DPD
methods regardless of the strong segregation regime. Using our techniques, several results, such as DSA film structure
on the chemical guide, are obtained. We also discuss the availability of our simulation technique using our free software,
OCTA (in detail, see http://octa.jp) system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In emerging high-vacuum multi e-beams exposure tools, the release of hydrocarbonaceous species (precursor) by resists outgassing is unavoidable and leads to premature contamination of optics projection systems. In this work, we present an experimental methodology aiming at resist outgassing qualification. A specific experimental setup was designed to monitor the induced outgassing phenomena by irradiating resist coated on 100mm silicon wafer. The wafer can be exposed through specific silicon micromachined membranes (called mimics) that are representative of the optics projection system usually embedded in real multi e-beam exposure tools. A Quadrupole Mass Spectrometer (QMS) is plugged into the vacuum chamber and enables in-situ analysis of the by-products outgassing. Combining this tool with the Thermo Desorption - Gas Chromatography coupled to Mass Spectroscopy (TD-GC-MS) analysis, we could not only determine the outgassing amount of different resists but also identify all the outgassed by-products and their origin. Finally, the Focus Ion Beam combined to Scanning Electron Microscopy (FIB-SEM) and X-ray Photoelectron Spectroscopy (XPS) characterization techniques were used to determine the contamination layer thickness and elementary composition, respectively. A first process oriented conclusion from this work shows that the use of a thin topcoat layer can considerably reduce the resist outgassing amount and, consequently, the hydrocarbonaceous contamination layer induced on the mimics. The outgassing amount as well as the top-coat efficiency was shown to be mainly dependent on the resist chemical properties. The contamination layer growth was shown to be dependent on e-beam current density and hydrocarbon pressure in the vicinity of the mimics.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.