Over time mask makers have been driven to low sensitivity e-beam resist materials to meet lithographic
patterning needs. For 7-nm logic node, resolution enhancement techniques continue to evolve bringing
more complexity on mask and additional mask builds per layer. As demonstrated in literature, low
sensitivity materials are needed for low line edge roughness (LER) but impact write tool through put. In
characterizing resist sensitivity for 7-nm, we explore more broadly what advantages and disadvantages
moving to lower sensitivity resist materials brings, where LER, critical dimension uniformity, resolution,
fogging, image placement, and write time results and trends are presented. In this paper, resist material
performance are reported for sensitivities ranging from 20 to 130 μC/cm2 at 50% proximity effect
correction, where the exposure will be using a single beam platform. Materials examined include negative
tone resist types with chemical amplification and positive tone without chemical amplification focusing on
overall trends for 7-nm e-beam resist performance.
In this paper we will describe the development of a new 12% high transmission phase shift mask technology for use
with the 10 nm logic node. The primary motivation for this work was to improve the lithographic process window for
10 nm node via hole patterning by reducing the MEEF and improving the depth of focus (DOF). First, the simulated
MEEF and DOF data will be compared between the 6% and high T PSM masks with the transmission of high T mask
blank varying from 12% to 20%. This resulted in selection of a 12% transmission phase shift mask. As part of this
work a new 12% attenuated phase shift mask blank was developed. A detailed description and results of the key
performance metrics of the new mask blank including radiation durability, dry etch properties, film thickness, defect
repair, and defect inspection will be shared. In addition, typical mask critical dimension uniformity and mask minimum
feature size performance for 10 nm logic node via level mask patterns will be shown. Furthermore, the results of work
to optimize the chrome hard mask film properties to meet the final mask minimum feature size requirements will be
shared. Lastly, the key results of detailed lithographic performance comparisons of the process of record 6% and new
12% phase shift masks on wafer will be described. The 12% High T blank shows significantly better MEEF and larger
DOF than those of 6% PSM mask blank, which is consistent with our simulation data.
The critical layer masks for 14 nm and 10 nm logic nodes are typically bright field, and the key features are opaque
structures on the mask. In order to meet the tight critical dimension (CD) requirements on these opaque features the use
of a high quality negative tone chemically amplified e-beam resist (NCAR) is required. Until very recently the only
negative tone e-beam resists available for use by the mask industry were the traditional cross linking type in which ebeam
exposure cross links the material and makes it insoluble in developer. In this paper we will describe the
performance of a new polarity switching type of NCAR resist that works by changing the solubility of the exposed resist
without cross linking. This has the advantage of significantly reduced swelling and scumming and resulted in major
improvements in the resolution of heavily nested features and small clear features on the mask. Additional detailed
characterization results will be described.
At the 14 nm logic node, significant lithographic changes relative to previous technologies are needed to resolve smaller
features with increased fragmentation in mask design and increased use of sub-resolution assist features. Extending the
application of 193 immersion lithography for further generations requires not only continued reduction of traditional
sources of variation but investigation into and quantification of the impact of completely new ones, such as mask twodimensional
(2D) variability. To improve the overall lithography model accuracy, two-dimensional (2D) data from the
mask is required to complete a mask model with an optimal wafer response. This paper characterizes and assesses the
importance of 2D mask effects on thin opaque MoSi on glass (OMOG) masks. Methodologies for characterizing corner
rounding in terms of corner rounding radius and contact area are presented. Optical mask 2D measurements and wafer
print results are summarized.
Resist materials rely on solubility differences between the exposed and unexposed areas to create the
desired image. Most negative-tone resists achieve the solubility difference by crosslinking the exposed area
causing it to be insoluble in developer. The negative tone resist studied here is a high sensitivity negativetone
resist that relies on polarity switching, similar to a positive-tone mechanism, but where the exposed
area is insoluble in aqueous developer resulting in a negative-tone image. During mask evaluation for 14nm
optical technology applications of the studied non-cross linking (polarity switching) resist, 1 - 5 μm size
blob-like defects were found in large numbers under certain exposure conditions. This paper will describe
the process and methodologies used to investigate these blob defects.
The line-edge roughness (LER) of a photomask image has a measurable impact on the corresponding printed wafer LER. This impact increases as wafer exposures move from 193nm DUV to 13.5nm EUV wavelengths since the imaging tool is a low-pass filter with EUV passing more spatial frequencies. Even the high frequency mask LER may impact the wafer image by lowering its image log-slope (ILS). Studying the magnitude and frequency content of mask LER is a first step to reducing the wafer LER. The next step is to determine which components of mask line roughness actually contribute to the wafer line roughness. Order is imposed on this study by fabricating programmed LER patterns on an EUV mask to introduce controlled variations in LER spatial frequency and magnitude. More specifically, line-width roughness (LWR), LER and power spectral density (PSD) are extracted from 64nm and 90nm (1X) pitch lines on a programmed LER EUV photomask. The same mask is then exposed on the ASML EUV Alpha Demo Tool (ADT) at best focus and dose. Three chemically amplified EUV photoresists are evaluated using the programmed LER photomask through PSD and LWR comparisons and the highest performance resist is used for a comprehensive LER transfer analysis. Wafer LWR is extracted from 64nm and 90nm pitch lines and correlated back to the base mask patterns revealing an empirical LWR transfer function (LTF). Finally, the study is extended to 45nm (1X) pitch lines by deploying a pupil filter on the ADT to explore the effect on LWR as the feature sizes shrink.
In order to meet the challenging patterning requirements of the 14 nm node, the semiconductor industry has
implemented use of negative tone develop (NTD) and other tone inversion techniques on wafer to enable use of bright field masks which provide an improved lithography process window.1,2,3 Due to e-beam write time and mask pattern fidelity requirements, the increased use of bright field masks means that mask makers must focus on improving the performance of their negative tone chemically amplified resist (NCAR) processes. In addition, the move to heavy use of bright field masks is introducing new challenges for mask makers. Bright field masks for 14 nm critical layers are required to have opaque sub-resolution assist features (SRAFs) as small as 50 nm while at the same time having across mask critical dimension uniformity (CDU) of less than 2 nm (3 sigma) to meet the 2014 ITRS targets.4 Achieving these specifications is particularly difficult for bright field contact and via level masks.
This paper will survey the performance requirements for NCAR resists for building 14 nm critical level masks. As part of this survey, the results of current commercially available and development NCAR resists will be compared. The study will focus on key elements of the resist process pertaining to line edge roughness, pattern fidelity, minimum feature size, and critical dimension control through density with differences in resist type, sensitivity, and thickness. In addition, use of a novel flow cell test apparatus for detailed study of the develop loading performance of the NCAR resists will be described. Data showing the current capability of these NCAR materials as well as remaining 14 nm node performance gaps and issues will be presented.
Extreme ultraviolet (EUV) mask fabrication faces many unique challenges, including more stringent line edge roughness
(LER) requirements. EUV mask absorber LER will need to be reduced to reliably meet the 2013 International Roadmap
for Semiconductors line width roughness target of 3.3 nm. This paper will focus on evaluating resists modified and
deployed specifically to reduce LER on EUV masks. Masks will be built, and the final mask absorber LER reported
considering multiple imaging and analysis techniques. An assessment of best methods for mask LER analysis will be
provided and used to judge resist performance.
The lithography challenges posed by the 22 nm node continue to place stringent requirements on photomasks.
The dimensions of the mask features continue to shrink more deeply into the sub-wavelength scale. In this
regime residual mask electromagnetic field (EMF) effects due to mask topography can degrade the imaging
performance of critical mask patterns by degrading the common lithography process window and by magnifying
the impact of mask errors or MEEF. Based on this, an effort to reduce the mask topography effect by
decreasing the thickness of the mask absorber was conducted. In this paper, we will describe the results of our
effort to develop and characterize a binary mask substrate with an absorber that is approximately 20-25% thinner
than the absorber on the current Opaque MoSi on Glass (OMOG) binary mask substrate.
For expediency, the thin absorber development effort focused on using existing absorber materials and deposition
methods. It was found that significant changes in film composition and structure were needed to obtain a
substantially thinner blank while maintaining an optical density of 3.0 at 193 nm. Consequently, numerous
studies to assess the mask making performance of the thinner absorber material were required and will be
described. During these studies several significant mask making advantages of the thin absorber were
discovered. The lower film stress and thickness of the new absorber resulted in improved mask flatness and up
to a 60% reduction in process-induced mask pattern placement change. Improved cleaning durability was
another benefit. Furthermore, the improved EMF performance of the thinner absorber [1] was found to have the
potential to relieve mask manufacturing constraints on minimum opaque assist feature size and opaque corner to
corner gap.
Based on the results of evaluations performed to date, the thinner absorber has been found to be suitable for use
for fabricating masks for the 22 nm node and beyond.
Variations in critical dimension (CD) as a function of the proximity of an individual feature to other exposed areas are a
continuing problem both in mask fabrication and in optical lithography. For example, the CD uniformity (CDU) may
degrade significantly depending on the proximity to densely or sparsely exposed areas. These pattern density effects will
continue to worsen as feature sizes decrease to 22 nm and below.
Pattern density effects in electron beam lithography using chemically amplified resists are believed to arise from several
sources. One such source, fogging, refers to the backscattering of secondary electrons onto the resist to cause deviations
from the nominal pattern size. A second contributor is acid volatility, where photogenerated acid is presumed to
redeposit on the wafer or mask during exposure or bake; here we refer to this effect as chemical flare. A third source of
pattern density effects is develop loading, which results in local depletion of developer in highly exposed regions. All
three of these may simultaneously contribute to a net observed CD variation.
In this report we describe the application of two different techniques for evaluating these proximity effects. The first is
based on electron-beam lithography patterning, and compares CD values of test patterns which are exposed under brightfield
and dark-field conditions. The second uses a series of different test patterns formed by DUV (248nm) exposure and
a custom liquid flow cell to separately characterize resist related density effects.
Many recent publications have highlighted pattern density effects as a problem in both electron-beam and optical
lithography. These effects are manifested as a systematic variation in critical dimension as a function of position on the
wafer. It is becoming an increasing problem as the pattern density and diminishing critical dimensions are needed for
production nodes 32nm and beyond.
One potential source of pattern density effects is acid volatility, where acid is presumed to redeposit during exposure or
bake; here we refer to this effect as chemical flare. Another source of density effects is develop loading which refers to
the impact of local depletion of developer in highly exposed regions. Both develop loading and chemical flare can cause
deviations in feature size that may be difficult to correct for by adjustment of the exposure process.
Here we describe a method that allows the detrimental effects of chemical flare and develop loading to be separately characterized. The method makes use of arrays of 248 nm exposure sites and a controlled develop process within a custom liquid flowcell; this combination enables a systematic study of these effects.
In optimizing e-beam resist process conditions for photomask lithography, the primary
performance measurements for optimization are resolution, critical dimension uniformity
(CDU), line edge roughness (LER), and linearity. Through technology nodes, one
parameter that has consistently shown a critical impact on these factors is the post
exposure bake (PEB) condition. With 32nm e-beam resist technologies having reduced
temperature sensitivity, this paper investigates the current impact of PEB conditions. The
PEB assessment will summarize the influence of PEB temperature, duration and
environment flow on 32 nm positive tone resists by reporting and analyzing two of the
primary performance measurements: CDU and LER.
Use of optical photomasks will extend to the 22-nm node and beyond. Mask minimum resolution and critical dimension
(CD) requirements for this node are very challenging to the mask industry. Optimization of resist materials and resist
thickness are key factors for improving CD performance. In general, thinner resists result in better minimum resolution
performance. The minimum useable resist thickness is often linked to the chrome hard mask dry etching performance.
More specifically, improvement of chrome etch rate selectivity to resist while simultaneously maintaining good CD
performance is difficult. In order to use a very thin e-beam resist, the underlying chrome hard mask material thickness
needs to be thin or it needs to be comprised of a material that has a fast etch rate and good dry etch selectivity to resist.
Use of thin and/or fast etch rate hard mask materials that are capable of reducing dry etch induced CD error such as etch
bias, etch bias uniformity, etch bias linearity, and etch global loading effect is required for meeting 22-nm mask
requirements. In this paper, the dry etching effect dependence on hard mask thickness, hard mask material composition
and resist thickness for building advanced binary masks for 22-nm node is studied. The results from this work will show
that dry etch induced CD error such as etch bias, etch bias uniformity, etch bias linearity, and etch global loading effect
are significantly improved by use of an ultra thin or high etch rate hard mask material.
Photomask feature size has decreased in accordance with constant downscaling of semiconductor device size with
generation changes in every 2-3 years, as in the ITRS Roadmap. However, since exposure wavelength has been unable to
keep its pace with decreasing feature size, resolution enhancement techniques have been used to bring the generation
changes in photomask technologies. A typical resolution enhancement technique of using sub-resolution assist features
(SRAF) requires patterning of small features and that increases difficulties in mask manufacturing. Under such
circumstances, we are presenting a study focusing on EB-resist development in the manufacturing process.
In this paper, we study and report development methods aiming to improve develop loading effect and resolution limit.
Several issues, including resolution, etch resistance, chromium-resist interface adhesion,
and sensitivity with post coat delay, complicate the selection of photoresists for 32nm
photomask development from the broad pool of candidates. These issues and others are
addressed after an initial screening of critical resist characteristics to reduce the number
of contenders. A balanced initial screening of photoresists for 32nm photomasks is
presented including global and local critical dimension uniformity, line edge roughness,
and resolution of low and high sensitivity positive and negative tone photoresists, relative
to exposure duration. The multi-dimensional assessment of candidate resists for
photomask applications was summarized with emphasis on the process of selection.
During the development of optical lithography extensions for 32nm, both binary and attenuated phase shift Reticle
Enhancement Technologies (RETs) were evaluated. The mask blank has a very strong influence on the minimum feature
size and critical dimension (CD) performance that can be achieved on the finished reticle and can have a significant
impact on the ultimate wafer lithographic performance. Development of a suitable high resolution binary mask making
process was particularly challenging. Standard chrome on glass (COG) binary blanks with 70 nm thick chrome films
were unable to support the required minimum feature size, linearity, and through pitch requirements. Two alternative
mask blank configurations were evaluated for use in building high resolution binary masks: a binary (BIN) mask blank
based on the standard attenuated PSM blank and an Opaque MoSi on Glass (OMOG) mask blank consisting of a newly-
developed opaque MoSi [1]. Data comparing the total process bias, minimum feature size, CD uniformity, linearity,
through pitch, etch loading effects, flatness, film stress, cleaning durability and radiation durability performance of the
different binary and attenuated PSM mask blanks are reported. The results show that the new OMOG binary blank offers
significant mask performance benefits relative to the other binary and attenuated PSM mask blanks. The new OMOG
blank was the opaque mask blank candidate most capable of meeting 32nm binary mask fabrication requirements..
New lithographic techniques are being implemented to help further reduce feature sizes in microelectronics. A
technique for the development of standard extreme ultraviolet (EUV) photoresists in a carbon dioxide compatible salt
(CCS) and supercritical carbon dioxide (scCO2) solution is being investigated to reduce line edge roughness and image
collapse of high aspect ratio features.1,2 To understand the kinetics and overall mechanism of photoresist dissolution
into the high pressure CCS/scCO2 solution, a quartz crystal microbalance (QCM) was previously used to measure the
effects of temperature, pressure, and density on the photoresist removal rate.3,4 In this paper, the effects of a CO2 drying
step before development and an adhesive coating on the photoresist removal rate and the formation of residual
photoresist droplets were studied at 50°C and 5000 psi. The results implied that neither the CO2 drying step nor the
HMDS coating had an effect on the bulk photoresist removal rate. It was also found that using an HMDS adhesive
coating reduces residual photoresist droplet size on the substrate due to the lower substrate / photoresist surface energy.
A novel method for the development of standard EUV photoresists in CO2 using CO2 compatible salts (CCS) is described and examined using a quartz crystal microbalance (QCM) technique in CO2. The fundamental steps of this development process are proposed to be 1) photoresist modification via CCS interaction with Bronsted acid groups in the resist; and 2) dissolution of the modified resist into CO2. Removal rates of non-exposed photoresist from the quartz crystal can be studied in real time under a variety of conditions to help elucidate the kinetics and mechanism of the CCS development process. A series of runs from 35 to 50 C at the same CO2 density showed a strong effect of temperature on the development rate. In the presence of a large excess of CCS, the kinetics of dissolution appear to be zero order. An Arrhenius plot generated by this data gave an activation energy of 79.0 kJ/mol. The zero order kinetics of dissolution was confirmed with a series of runs at various CCS concentration that showed insignificant rate effects. Dynamic flow of the CCS solution in the QCM cell gave ~50% increase in rate of resist removal relative to the standard conditions using static CCS solution. The indication from the three sets of QCM experiments described here is that dissolution of the CCS modified polymer is the slow step in CCS development.
New lithographic techniques are being implemented to help further reduce feature sizes in microelectronics. A technique for the development of standard commercial extreme ultraviolet (EUV) photoresists in a carbon dioxide compatible salt (CCS) and supercritical carbon dioxide (scCO2) solution is being investigated to reduce line edge roughness and image collapse of high aspect ratio features.1,2 To understand the kinetics and overall mechanism of photoresist dissolution into the high pressure CCS/scCO2 solution, we use a quartz crystal microbalance (QCM). QCM measures the frequency changes of the quartz crystal when mass loadings, temperature, pressure, and solution viscosity change. In the last decade, QCM has been used to monitor dissolution of photoresist materials in liquid solutions in real time.3 The technique has been adapted to high pressure systems, with corrections for pressure and solution viscosity effects.4 In this paper, QCM was used in high pressure scCO2 conditions to monitor the dissolution kinetics of the photoresist using the CCS/scCO2 solution. The frequency changes of the quartz crystal were recorded and corrected for both pressure and solution viscosity to estimate the mass removed as a function of time. The initial photoresist dissolution rates in the CCS/scCO2 solution at temperatures between 35°C and 50°C and pressures ranging from 3500 psi to 5000 psi are reported. The plots of photoresist removal with time are linear signifying a zero order overall removal rate. The activation energy for photoresist removal at a CO2 density of 0.896 g/ml is 76 mJ/mol.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.