EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and
beyond. The image border is a pattern free dark area around the die on the photomask serving as transition area between
the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When
printing a die at dense spacing on an EUV scanner, the reflection from the image border overlaps edges of neighboring
dies, affecting CD and contrast in this area. This is related to the fact that EUV absorber stack reflects 1-3% of actinic
EUV light. To reduce this effect several types of image border with reduced EUV reflectance (<0.05%) have been
proposed; such an image border is referred to as a black border. In particular, an etched multilayer type black border was
developed; it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border
(BB). However, wafer printing result still showed some CD change in the die influenced by the black border reflection. It
was proven that the CD shift was caused by DUV Out of Band (OOB) light from the EUV light source. New types of a
multilayer etched BB were evaluated and showed a good potential for DUV light suppression.
In this study, a novel BB called ‘Hybrid Black Border’ (HBB) has been developed to eliminate EUV and DUV OOB
light reflection by applying optical design technique and special micro-fabrication technique. A new test mask with HBB
is fabricated without any degradation of mask quality according to the result of CD performance in the main pattern,
defectivity and cleaning durability. The imaging performance for N10 imaging structures is demonstrated on
NXE:3300B in collaboration with ASML. This result is compared to the imaging results obtained for a mask with the
earlier developed BB, and HBB has achieved ~3x improvement; less than 0.2 nm CD changes are observed in the
corners of the die. A CD uniformity budget including impact of OOB light in the die edge area is evaluated which shows
that the OOB impact from HBB becomes comparable with other CDU contributors in this area. Finally, we state that
HBB is a promising technology allowing for CD control at die edges.
EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and beyond. The EUV mask is a key element in the lithographic scanner optical path. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the EUV light reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. To reduce this effect an etched multilayer type black border was developed, and it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border (BB). However, wafer printing result still showed some CD change influenced by the black border reflection. It was proven that the CD shift was caused by DUV Out of Band (OOB) light which is emitted from EUV light source. New types of a multilayer etched BB were evaluated and showed a good potential for DUV light suppression. In this study, a novel black border called Hybrid Black Border has been developed which allows to eliminate EUV and DUV OOB light reflection. Direct measurements of OOB light from HBB and Normal BB are performed on NXE:3300B ASML EUV scanner; it is shown that HBB OOB reflection is 3x lower than that of Normal BB. Finally, we state that HBB is a promising technology allowing for CD control at die edges.
Photomask is at the heart of a lithographic scanner’s optical path. It cannot be left non-optimized from the imaging point of view. In this work we provide new insights on two critical aspects of EUV mask architecture: optimization of absorber for 16 nm half-pitch imaging and a systematic approach to black border EUV and DUV reflectance specifications. Good 16 nm imaging is demonstrated on ASML NXE:3300 EUV scanner. Currently a relatively high dose resist is used for imaging and the dose reduction is desired. Optimization (reduction) of absorber height and mask CD bias can allow for up to 30% dose reduction without essential contrast loss. Disadvantages of absorber height reduction are ~7 nm increase of best focus range through pitch and tighter absorber height mean to target and uniformity requirements. A disadvantage of a smaller reticle CD (down to 14 nm 1x) is manufacturing process uniformity over the reticle. A systematic approach of black border reflections impact on imaging is established. The image border is a pattern free dark area surrounding the image field and preventing exposure of the image field neighborhood on wafer. Currently accepted design of the black border on EUV reticle is an image border where the absorber and multilayer stack are etched down to the substrate and EUV reflectance is reduced to <0.05%. DUV reflectance of such a black border is about 5%. It is shown that a tighter DUV reflectance specification <1.5% is required driven by the impact of DUV reflections from the black border on imaging. NXE:3300 and NXE:3100 experimental imaging results are shown. The need of low DUV wavelength reflectance metrology (in the range 100-300 nm) is demonstrated using an estimated NXE scanner out-of-band DUV spectrum. Promising results of low DUV reflectance of the black border are shown.
The impact of various mask parameters on CDU combined in a total mask budget is presented, for 22 nm lines, for reticles used for NXE:3300 qualification. Apart from the standard mask CD measurements, actinic spectrometry of multilayer is used to qualify reflectance uniformity over the image field; advanced 3D metrology is applied for absorber profile characterization including absorber height and side wall angle. The predicted mask impact on CDU is verified using actual exposure data collected on multiple NXE:3300 scanners. Mask 3D effects are addressed, manifesting themselves in best focus shifts for different structures exposed with off-axis illumination. Experimental NXE:3300 results for 16 nm dense lines and 20 nm (semi-)isolated spaces are shown: best focus range reaches 24 nm. A mitigation strategy by absorber height optimization is proposed based on experimental results of a special mask with varying absorber heights. Further development of a black image border for EUV mask is considered. The image border is a pattern free area surrounding image field preventing exposure the image field neighborhood on wafer. Normal EUV absorber is not suitable for this purpose as it has 1-3% EUV reflectance. A current solution is etching of ML down to substrate reducing EUV reflectance to <0.05%. A next step in the development of the black border is the reduction of DUV Out-of-Band reflectance (<1.5%) in order to cope with DUV light present in EUV scanners. Promising results achieved in this direction are shown.
The image border is a pattern free dark area around the die on the photomask serving as transition area between
the parts of the mask that is shielded from the exposure light by the Reticle Masking (ReMa) blades and the die.
When printing a die at dense spacing on an EUV scanner, the reflection from its image border overlaps with the
edges of neighboring dies affecting CD and contrast in this area. This is related to the fact that EUV absorber
stack has 1-3% reflectance for actinic light. For a 55nm thick absorber the induced CD drop at the edges is
found to be 4-5 nm for 27 nm dense lines. In this work we will show an overview of the absorber reflection
impact on CD at the edge of the field across EUV scanner generations, for several imaging nodes and multiple
absorber heights.
Increasing spacing between dies on the wafer would prevent the unwanted exposure but results in an
unacceptable loss of valuable wafer real estate thereby reducing the yield per wafer and is thus not a viable
manufacturing solution. In order to mitigate the reflection from the image border one needs to create a so called
black border. The most promising approach is removal of the absorber and the underlying multilayer down to
the low reflective LTEM substrate by multilayer etching. It was shown in the previous study that the impact
on CD was reduced essentially for 27 nm dense lines exposed on ASML NXE:3100.
In this work we will continue the study of a multilayer etched black border impact on imaging. In particular, 22
nm lines/spaces imaging on ASML NXE:3300 EUV scanner will be investigated in the areas close to the black
border as well as die to die effects. We will look closer into the CD uniformity impact by DUV Out-of-Band
light reflected from black border and its mitigation. A possible OPC approach will also be evaluated.
Electron beam resists develop a surface potential during exposure that can lead to image placement errors of up to several nanometers [1] and cause poor CD uniformity and image quality. To address this problem, we have formulated a conductive polymer that can be coated onto the resist. Our conductive discharge layer (CDL) is water soluble and it is easily removed during subsequent processing steps. We have established that our material has low enough resistance for full charge dissipation during e-beam exposure and have carried out extensive tests to evaluate the impact of the layer on lithographic performance. We will report these findings, which include measurements of the effect of the CDL application on resist resolution, contrast, speed, and roughness on both wafer and on mask.
EUV lithography is the most promising candidate for semiconductor device manufacturing of 1x nm half pitch and
beyond. For the practical use, EUV mask with a thin absorber could be adopted because of less shadowing effect. EUV
reflectivity from the thin absorber is about 1~3%. It would cause CD change on wafer especially at the exposure field
edge due to the leakage of the EUV light from neighboring exposure shots.1 To avoid this phenomenon, light shield
black border is needed at the edge of pattern area on mask. Stacked absorber type and ML-etched type of light shield
black border have been proposed in the past.2 The most important things for these black borders are that there is no
reflection of EUV light and no defect which affects pattern CD on wafer. ML-etched black border is considered to be
applied for early practical use from a viewpoint of manufacturability. Because CD degradation and defect increase might
happen due to 2nd litho and etch process on its main pattern area in manufacturing process of stacked absorber type.
In this paper, we will show several evaluation results regarding
ML-etched black border we have developed. It has a
good light shield performance for EUV and low DUV light reflection. Defect inspection in black border area can be
performed successfully by three kinds of inspection tools. As a result, most of the defects seemed not to be printable to
wafer. We also evaluated CD change, flatness change linked to mask IP shift and particle contamination on main pattern
area. What it comes down to is that there is no show-stopper for
ML-etched BB process for now.
The lithography challenges posed by the 20 nm and 14 nm nodes continue to place strict minimum feature size
requirements on photomasks. The wide spread adoption of very aggressive Optical Proximity Correction (OPC) and
computational lithography techniques that are needed to maximize the lithographic process window at 20 nm and 14 nm
groundrules has increased the need for sub-resolution assist features (SRAFs) down to 50 nm on the mask. In addition,
the recent industry trend of migrating to use of negative tone develop and other tone inversion techniques on wafer in
order to use bright field masks with better lithography process window is requiring mask makers to reduce the minimum
feature size of opaque features on the reticle such as opaque SRAFs. Due to e-beam write time and pattern fidelity
requirements, the increased use of bright field masks means that mask makers must focus on improving the resolution of
their negative tone chemically amplified resist (NCAR) process.
In this paper we will describe the development and characterization of a high resolution bright field mask process that is
suitable for meeting 20 nm and early 14 nm optical lithography requirements. Work to develop and optimize use of an
improved chrome hard mask material on the thin OMOG binary mask blank1 in order to resolve smaller feature sizes on
the mask will be described. The improved dry etching characteristics of the new chrome hard mask material enabled the
use of a very thin (down to 65 nm) NCAR resist. A comparison of the minimum feature size, linearity, and through pitch
performance of different NCAR resist thicknesses will also be described. It was found that the combination of the
improved mask blank and thinner NCAR could allow achievement of 50 nm opaque SRAFs on the final mask.. In
addition, comparisons of the minimum feature size performance of different NCAR resist materials will be shown. A
description of the optimized cleaning processes and cleaning durability of the 50 nm opaque SRAFs will be provided.
Furthermore, the defect inspection results of the new high resolution mask process and substrate will be shared.
With mask critical dimension (CD) uniformity requirements becoming tighter with each new technology node, mask
manufacturing must deploy a wide range of corrections to meet the CD specifications. These corrections compensate for
e-beam proximity effects, fogging effects, etch loading effect, and other global process non-idealities. In this paper, we
present data demonstrating that the current capability of universal e-beam dose corrections meets 32nm CD uniformity
requirements in the presence of various systematic CD errors. Given that the resist process demonstrates enough
latitude to accommodate the required dose variations, it is the stability and repeatability of the process itself that limits
the ability to meet CD requirements. Substrates, resist coating, post-coat delay, develop variations, and etch stability all
contribute to CD variations. Rather than simply focusing on reducing systematic errors, the process stability must be
addressed.
As critical dimension uniformity requirements tighten for advanced technology nodes, it becomes increasingly important
to characterize and correct for systematic sources of critical dimension error in mask manufacturing. A long range
proximity effect has been previously reported in the industry to occur in chemically amplified resists that appears to be
related to the develop process and we call this phenomenon chemical flare. Several attempts to modulate this effect have
been characterized and at least one develop nozzle modification has been found to reduce chemical flare by ~50%. In
addition, develop time, develop and rinse processes, and top anti-reflective coatings have been evaluated as methods of
minimizing chemical flare effects in e-beam lithography applications. Positive and negative chemically amplified ebeam
resists have been evaluated and characterized for this effect.
Proximity effect and foggy effect correction is performed to obtain an ideal CD distribution of resist patterns within a mask plate. However, gobal loading effect in dry etching causes an additional CD distribution of Cr patterns. In order to satisfy the CD distribution specification in 65nm node, CD distribution in global loading effect should be improved to be 2nm or less. To accomplish the goal, a correction system of dry etching loading effect has been developed. The correction is performed by sizing patterns in each writing field (1mm x 1mm). The sizing amount, minimum step of 1nm, is calculated according to the parameters, which are defined by measuring the test patterns. The loading effect is evaluated by measuring the CD difference of 1 micron lines and spaces in 80mm x 40mm clear area and that in completely dark area, which is an extremely severe case. The writer is JEOL/JBX-3030, and the dry etcher is Unaxia/VLR700GIII in the experiment. By applying this correction, CD uniformity caused by the global loading effect can be reduced to 2nm or less.
Improvement of Critical Dimension (CD) accuracy is one ofthe most important issues for high-end reticle fabrication. Two major obstacles remain even after careftil CD optimization efforts. One is foggy effect, which is related to writing system, and the other is loading effect, which is related to dry etching mechanism. Both of two are strongly related to pattern layout and major causes to degrade CD uniformity and mean to target. To solve those problems, we have tried to apply foggy effect correction software tool on the JBX-9000MV developed by JEOL. At this time, we used Chemically Amplified (CA) negative tone resist with optimized process condition and exposure parameter such as Proximity Effect Correction (PEC). After careful examination, we confirmed that the software could eliminate CD error caused by foggy effect. Further, by optimizing foggy effect correction, we were quite successful to compensate CD error caused by loading effect too. In this way, we established high-end (l3Onm design rule) reticle production technique.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.