For the first time, electrically testable snake and comb structures were used to quantitatively characterize the defectivity
associated with imprint lithography, specifically with Step and Flash Imprint Lithography. Whereas the overall yield for
quarter micron optically-patterned snakes was found to be approximately 95%, the corresponding value for imprinted
snakes was about 84%. The yield of imprinted snakes was found to fall rapidly with decreasing feature size. For example, the yield of 1:5 50 nm short snakes was only about 55%. Complementary optical inspection suggested feature pullout (release agent failure and mechanical layer separation) was a prevailing occurrence. Qualitatively, defects were binned into four primary, broad categories: self-cleaning template defects; non self-cleaning template defects; imprint-impeding
defects; and template damaging defects. Additionally, the template cleaning process employed was found to
be fairly efficient at removing particles, particularly when considering defects at the larger feature sizes. There is no
doubt that the control of defectivity will be the next large hurdle that will challenge imprint lithography as it strives to
make inroads in manufacturing arenas. Finally, a future study is planned with improved etch barrier and transfer layers.
Imprint lithography has been included on the ITRS Lithography Roadmap at the 32 and 22 nm nodes. Step and Flash Imprint Lithography (S-FILTM) is a unique method for printing sub-100 nm geometries. Relative to other imprinting processes S-FIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. Further, S-FIL provides sub-100 nm feature resolution without the significant expense of multi-element, high quality projection optics or advanced illumination sources. However, since the technology is 1X, it is critical to address the infrastructure associated with the fabrication of templates.
With respect to inspection, although defects as small as 70 nm have been detected using optical techniques, it is clear that it will be necessary to take advantage of the resolution capabilities of electron beam inspection techniques. The challenge is in inspecting templates composed purely of fused silica. This paper reports the inspection of both fused silica wafers and plates. The die-to-database inspection of the wafers was performed on an NGR2100 inspection system. Fused silica plates were inspected using an NGR4000 system.
Three different experiments were performed. In the first study, Metal 1 and Logic patterns as small as 40 nm were patterned on a 200 mm fused silica wafer. The patterns were inspected using an NGR2100 die-to-database inspection system. In the second experiment, a 6025 fused silica plate was employed. Patterns with a limited field of view (FOV) were inspected using an NGR4000 reticle-based system. To test the tool's capability for larger FOVs, 16 × 16 μm areas on a MoSi half tone plate were scanned and stitched together to evaluate the tool's ability to reliably do die-to-database comparisons across larger inspection areas.
Nano-imprint technology has demonstrated the potential for a low-cost, high-throughput Next Generation Lithography (NGL) method extendable to ultra-fine geometry requirements. Although the development of nano-imprinting lithography has been focused on semiconductor applications, the technology could provide a pathway for non-semiconductor-related applications as well. Examples of technologies that may benefit from this nano-imprint are high-density drives and other stand-alone memories, organic and flexible electronics, photonics, nanoelectronics, biotechnology, etc. With the rapid advances in these industries, the need for sub-nanometer features to drive performance and innovation, while maintaining cost, is to be expected. Step and Flash Imprint Lithography (S-FILTM) is one of several cost-effective imprinting technologies being pursued for sub-100 nm resolution. In demonstrating successful final pattern transfer of features less than 45 nm, S-FIL has sparked some interest as a viable alternative to other NGL methods. Unlike optical-based lithography, imprint utilizes the basic concept of contact printing, and therefore, does not require expensive optics and complex resist material to create images. Thus, the cost of ownership for nano-imprint lithography compared with other optical-based NLGs could provide solutions for many applications. Improvements made in S-FIL in the areas of material dispensing and refinement of the etch barrier (EB) have resulted in more uniform printing while producing a thinner residual layer. These improvements, coupled with changes to the etch processes have enabled pattern transfer with minimal critical dimension (CD) loss. This paper will describe both the new imprinting results and pattern transfer to demonstrate sub-45nm features. CD bias at each of the process steps will also be discussed. Examples of sub-45 nm (1:3) line/space features post imprint and final pattern transfer into oxide will be shown.
In order for Step and Flash Imprint Lithography S-FIL or any other imprint lithography to become truly viable for manufacturing, certain elements of the infrastructure must be present. In particular, these elements include; fast and precise Electron Beam (E-beam) pattern writing, ability to inspect, and a methodology to repair. The focus of this paper will be to investigate repair of clear and opaque defects on S-FIL templates using Focused Ion Beam (FIB) and Electron beam technologies. During this study, FEI's Accura XT FIB mask repair system was used to selectively mill opaque line edge defects as small as 45 nm in the Cr-based and 30 nm in the quartz-based patterns. Repairs to the Cr pattern achieved a placement offset of 8.8 nm with a one sigma value of 11.4 nm. Additionally, a series of trench cuts were made perpendicular through line segments to determine the minimum cut resolution. In an effort to repair clear defects within chrome patterns, studies were performed to deposit carbon or a proprietary metallization using either FEI's FIB platform or E-beam mask repair research tool. This paper will discuss the repair strategy used and include characterization of repairs through Scanning Electronic Microscopy (SEM) and Atomic Force Microscopy (AFM) imaging. Furthermore, repair efficiency was determined by assessing the ability of the repair to hold up through the remainder of the template fabrication process and ultimately pattern transfer of imprinted features.
Imprint lithography has been included on the ITRS Lithography Roadmap at the 32 and 22 nm nodes. Step and Flash Imprint Lithography (S-FILTM) is a unique method for printing sub-100nm geometries. Relative to other imprinting processes S-FIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. Further, S-FIL provides sub-100nm feature resolution without the significant expense of multielement, high quality projection optics or advanced illumination sources. However, since the technology is 1X, it is critical to address the infrastructure associated with the fabrication of templates. With respect to inspection, although defects as small as 70nm have been detected using optical techniques, it is clear that it will be necessary to take advantage of the resolution capabilities of electron beam inspection techniques. This paper reports the first systematic study of die-to-database electron beam inspection of patterns that were imprinted using an Imprio 250 system. The die-to-database inspection of the wafers was performed on an NGR2100 inspection system. Ultimately, the most desirable solution is to directly inspect the fused silica template. This paper also reports the results on the first initial experiments of direct inspection fused silica substrates at data rates of 200 MHz. Three different experiments were performed. In the first study, large (350-400nm) Metal 1 and contact features were imprinted and inspected as described above. Using a 12 nm pixel address grid, 24 nm defects were readily detected. The second experiment examined imprinted Metal 1 and Logic patterns with dimensions as small as 70nm. Using a pixel address of 3nm, and a defect threshold of 20 nm, a systematic study of the patterned arrays identified problem areas in the design of the pattern layout. Finally, initial inspection of 200mm fused silica patterned substrates has established proof of concept for direct inspection of imprint templates.
Step and Flash Imprint Lithography (S-FIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes S-FIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. Previous work on S-FIL templates has focused on a chromium and quartz pattern transfer process that is compatible with processes that are currently used in mask shops. It is likely that 1X templates will require electron beam inspection, however, and templates that include buried charge conduction layers may be required. The purpose of this work was to investigate the issues associated with fabricating and inspecting these types of templates. The patterning stack examined included a layer of ZEP520A positive electron beam resist, followed by thin layers of chromium, silicon oxynitride, and indium tin oxide. The chromium layer was needed to avoid laser height sensor problems encountered prior to electron beam exposure. The pattern transfer process was characterized, and CD uniformity was characterized in four quadrants of the photoplate. A prototype electron beam inspection system was then used to inspect an array of programmed defect patterns. Two methods for fabricating templates were considered.
Step and Flash Imprint Lithography (S-FIL) 1X templates must eventually achieve and maintain the very low defect counts commensurate to current production masks. This requires typically fewer than ten or even no defects over the entire field and to minimize template fabrication costs and techniques must be identified to repair defects on templates when they do occur. We describe inspection and repair methodologies and how it can be applied to the imprint template. For inspection, test patterns etched onto the template enable both a die-to-die comparison, to find nuisance defects, and also calibration of sensitivity to different types of preprogrammed defects. A state of the art deep UV photomask inspection system (KLA-Tencor model 526) can detect these events with about 70 nm threshold for imprint masks using reflection mode contrast. Initial scans are made at various stages of the imprint process: the processed mask, after dicing, and after several imprints. The scans show mostly isolated point defects at a density of ~ 10 to 100 per mm2. To repair defects, studies were undertaken using RAVE’s nm650 tool which is essentially an AFM platform that relies upon a nano-machining technique for opaque defect removal. On S-FIL templates, the standard deviation for depth repairs in quartz from the target depth was found to be 3.1 nm (1σ). The spread in edge placement data for opaque line protrusions was 21.5 nm (1σ). Trench cuts through lines were successfully created with a minimum size of about 55nm. The repairs on the template were verified by imprinting the features on wafers. The range of depth offsets studied (-15 to +15) had no bearing on the imprinting process and the edge placement on wafers replicated the edge placement of the repaired templates. Trench cuts on the template were successfully filled with the imprint monomer and measured slightly larger than the minimum gap size. Finally, the imprinted wafers were used to pattern transfer features into 100nm of oxide.
the Leica SB350MW 50keV shaped-beam e-beam lithography tool was used to write large-area 1X templates applicable in Step and Flash Imprint Lithography (S-FIL). This paper describes how information from the pattern analysis can be used to define the ZEP7000 resist exposure optimization technique for the SB350 MW tool together with the Motorola template pattern transfer process to obtain final template images in the transparent template. As a result of the complete process, well-resolved trenches measuring 33 nm and contacts as small as 44nm were obtained. Further improvements in the resist patterning will be possible by an adaptation of our standard proximity corrector (currently used in the 90 nm node maskmaking) with a high resolution upgrade.
KEYWORDS: Etching, Polymerization, Molecules, Monte Carlo methods, Finite element methods, Lithography, Ultraviolet radiation, Molecular interactions, Scanning electron microscopy, Optical lithography
Step and Flash Imprint Lithography (SFIL) is a revolutionary next generation lithography option that has become increasingly attractive in recent years. Elimination of the costly optics of current step and scan imaging tools makes SFIL a serious candidate for large-scale commercial patterning of critical dimensions below ~50 nm. This work focuses on the kinetics of the UV curing of the liquid etch barrier and the resulting densification/contraction of the etch barrier as it solidifies during this step. Previous experimental work in our group has measured the bulk densification of several etch barrier formulations, typically about 9 % (v/v). It remains unknown, however, how much etch barrier contraction occurs during the formation of nano-scale features. Furthermore, it is of interest to examine how changes in monomer pendant group size impact imprinted feature profiles.
This work provides answers to these questions through a combination of modeling and experimental efforts. Densification due to the photopolymerization reaction and the resulting shift from Van der Waals’ to covalent interactions is modeled using Monte-Carlo techniques. The model allows for determination of extent of reaction, degree of polymerization, and local density changes as a function of the etch barrier formulation and the interaction energies between molecules (including the quartz template). Experimental efforts focus on a new technique to examine trench profiles in the quartz template using TEM characterization. Additionally, SEM images of imprinted images from various etch barrier formulations were examined to determine local contraction of the etch barrier. Over a large range of etch barrier formulations, which range from 10 - 20 % volumetric contraction as bulk materials, it was found that dense 100 nm lines printed approximately the same size and shape.
Recently, the International Roadmap for Semiconductors (ITRS) has included imprint lithography on its roadmap, to be ready for production use in 2013 at the 32 nm node. Step and Flash Imprint Lithography (S-FILTM) is one of the promising new methods of imprint lithography being actively developed. Since S-FIL is a 1X printing technique, fabrication of templates is especially critical. S-FIL has previously demonstrated the ability to reliably print high resolution line/space and contact hole features into a silicon-rich etch barrier material. Beyond printing with S-FIL however, there is the requirement to develop low or zero bias, high selectivity dry etch processes needed to transfer printed images into the substrate. In this study, the feasibility and methodology of imprinting sub-80 nm contacts, and pattern transferring this image into an underlying oxide layer is demonstrated. Critical parameters such as e-beam dose and etch biases associated with template pillar fabrication, and biases associated with pattern transfer processes for sub-80 nm 1:1 and 1:2 pitch contacts are discussed. Wafer imprinting was done on 200 mm wafers using Molecular Imprints Inc., Imprio 100TM system.
Step and flash imprint lithography (S-FIL) is an attractive method for printing sub-100-nm geometries. Relative to other imprinting processes, S-FIL has the advantage of the template being transparent, thereby facilitating conventional overlay techniques. In addition, the imprint process is performed at low pressures and room temperature, minimizing magnification and distortion errors. As a result, it may be possible to use S-FIL to build integrated circuits. The purpose of this work is to investigate the fabrication methods needed to form templates capable of printing sub-100-nm contact holes. A positive resist process is used to image both holes and pillars on the template. After fabrication, the templates are used to print both contacts and pillars. The dense 80-nm imprinted contacts measure 65 nm, a consequence of undersizing on the template. For relaxed pitches, contacts smaller than 30 nm are observed. Pillars as small as 50 nm are also cleanly printed. At 40 nm, pillar size is inconsistent, and missing pillars are evident. Modifications to the template fabrication process will be necessary to study the feasibility of printing even smaller contacts and pillars.
In this work, we analyzed resist CD uniformity on 6025 substrates in terms of resist PEB sensitivity, PEB time, temperature variation during ramp up, hotplate vacuum and the application of a chill plate. We found that the resist PEB sensitivity, PEB time and the final temperature were the most important factors. By selecting low PEB sensitive resist and optimizing the bake conditions, the CD uniformity was greatly improved. The temperature profile of the hotplate configuration used for this study will be discussed along with the CD uniformity obtained using this hotplate under various conditions.
Step and FLash Imprint Lithography (S-FIL) is one of several new methods of imprint lithography being actively developed. Since S-FIL is a 1X printing technique, fabrication of templates is especially critical. The requirement to produce defect-free pillars (needed for imprinting contacts on wafers) in a reliable and manufacturable manner only serves to compound this challenge. In this study, the feasibilty and methodology of fabricating templates having arrays of sub-80 nm pillars is demonstrated. This process involves the use of a Leica VB6 100 keV e-beam system to pattern ZEP 520A resist, followed by a series of chrome and quartz etches to arrive at the final all-quartz template. Wafer printing was done on 200 mm wafers using Molecular Imprints Inc., Imprio-100 system. Critical dimension of template contacts and pillars is shown as a function of e-beam dose. Results of the study have demonstrated that S-FIL templates made with sub-80 nm pillars can be used to reliably replicate 1:1 pitch contact hole arrays on wafers. Sidewall profiles of both template pillars and printed contacts were sloped somewhat, and resulted in an approximately a 20-30 nm bias between contact bottom (smaller) and top opening. Critical dimension uniformity of printed contact arrays within-field and from field-to-field was also explored. Within-field CD uniformity of contacts was found to be less than field-to-field CD uniformity, which was excellent. The feasibility of printing pillar array using S-FIL was also demonstrated. Arrays of pillars measuring 54 nm with a pitch of 1:3 were reliably printed.
We report in this work experimental and theoretical results showing the effects of absorber reflectivity on standard flare measurements, image formation and how this may contribute to various image metrics used in lithography. Our study shows that under typical conditions the reflectance from the absorber film has only a small effect on the image produced by the exposure system.
Despite very intense work since its re-discovery in the early 1990’s, phase-shift lithography is only in limited use today. The reason for its lack of wide spread use is not performance, for the benefits of phase-shift lithography are very well documented in the literature. The problem has been the greater complexity involved in making phase shirt masks, the inspection and repair of defects, and in dealing with phase-shift conflicts and other layout problems. The phase shift approach most commonly used is attenuated phase-shift. This is not very surprising in view of the fact that this phase-shift approach requires only one write-pass; and the inspection, repair and OPC are less difficult than the other phase-shift options. Despite these shortcomings, work on phase shift continues as we push resolution and extend the life of optical microlithography. The reason is that the alternatives, 157 nm and next-generation lithography, have its own set of issues. As we come to grips with the complexities of working in the vacuum region of the spectrum, we realize that 157 nm is likely to be delayed, and more expensive than originally thought. All next generation lithography options require a great deal of new infrastructure, with it associated coast. In this paper we report on a self-aligned rim phase shift approach. There have been reports of self-aligned rim phase shift approaches before, however our approach is unique in that it only requires one write-pass. This significantly simplifies the mask-making process.
The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.
In this paper, we report on evaluation of a high e-beam sensitive CA resist, FEP171 for EUVL mask fabrication. This resist exhibits a PEB temperature sensitivity of ~1nm/°C and 8.3 nm (3 σ) CD uniformity across an EUVL mask patterned with 200 nm dense features using a 100 keV e-beam exposure system. This resist also showed a very high resolution and excellent exposure latitude. Dense line/space features down to 60 nm have been delineated in this resist. This paper will discuss the lithographic performance of this resist and compare it with that of UV-III CA resist and ZEP 520 non-CA resist.
Step and Flash Imprint Lithography (SFIL) is one of several new nano-imprint techniques being actively developed. While SFIL has been shown to be capable of sub-30 nm resolution, critical dimension (CD) control of imprinted features must be demonstrated if SFIL Is to become a viable and production worthy lithography technique. In the current study, a Molecular Imprints Imprio-100 system was used to imprint resolution patterns on 200 mm wafers. A characterization of critical dimension uniformity over the all-quartz template was done and compared to the same features printed on wafers. This analysis was performed for 100, 80, 50, and 30 nm features in three ways: over a single die using 64 sites arrayed across a 21 mm field, from field-to-field for 37 die across a single wafer, and from wafter-to-wafer for six wafers. Results show that CD's transfer from template to wafer with a slight positive bias which is greatest for 50 and 30 nm line sizes. Feature profiles studies. Despite this, the maximum calculated component of process variation from the SFIL process itself was calculated to be only 6 nm.
Recent work on Step and Flash Imprint Lithography (SFIL) has been focused on process and materials fundamentals and demonstration of resolution capability. Etch transfer rpocesses have been developed that are capable of transferring imprinted images though 150 nm of residual etch barrier, yielding sub 50 nm lines with aspect ratios greater than 8:1. A model has been developed for the photoinitiated, free radical curing of the acrylate etch barrier materials that have been used in the SFIL process. This model includes the effects of oxygen transport on the kinetics of the reaction and yields a deeper understanding of the importance of oxygen inhibition, and the resulting impact of that process on throughput and defect generation. This understanding has motivated investigation of etch barrier materials such as vinyl ethers that are cured by a cationic mechanism, which does not exhibit these same effects. Initial work on statistical defect analysis has is reported and it does not reveal pathological trends.
Step and Flash Imprint Lithography (SFIL) is an alternative lithography technique that enables patterning of sub-100 nm features at a cost that has the potential to be substantially lower than either conventional projection lithography or proposed next generation lithography techniques. SFIL is a molding process that transfers the topography of a rigid transparent template using a low-viscosity, UV-curable organosilicon solution at room temperature and with minimal applied pressure. Employing SFIL technology we have successfully patterned areas of high and low density, semi-dense and isolated lines down to 20 nm, and demonstrated the capability of layer-to-layer alignment. We have also confirmed the use of SFIL to produce functional optical devices including a micropolarizer array consisting of orthogonal 100 nm titanium lines and spaces fabricated using a metal lift-off process. This paper presents a demonstration of the SFIL technique for the patterning of the gate level in a functional MOSFET device.
As semiconductor device requirements approach the 70 nm lithography node the development and implementation of a next-generation lithography (NGL) technology and the associated masks becomes of paramount importance. We have been developing Extreme Ultraviolet Lithography (EUVL) mask materials and processes. Fabrication of these masks includes the deposition and patterning of an EUV absorber stack. An understanding of the effect of pattern transfer on image placement is required due to the stringent image placement requirements for NGL masks. This article reports the measurement results of image placement caused by the pattern transfer of resist through final image for a candidate EUVL absorber stack using both bright field and dark field patterned 6025 (6” × 6” × 0.25”) masks.
To determine stress related image distortion for EUV masks, an EUV stack consisting of SiON, TaSiN, and Cr was deposited onto Mo/Si coated mask blanks (6025 format) provided by Lawrence Livermore National Laboratory (LLNL). Both dark field and bright field masks were built and the pattern image placement was measured after e-beam lithography and after every etch process. Analysis of the data sets provided the resultant pattern transfer induced image displacement.
Step and Flash Imprint Lithography (SFIL) is an attractive low-cost method for printing sub-100 nm geometries. Relative to other imprinting processes, SFIL has the advantage that the template is transparent thereby facilitating conventional overlay techniques. In addition, the imprint process is performed at low pressures and room temperature, which minimizes magnification and distortion errors. Since SFIL is a 1X lithography technique, the template masks will require very good layer-to-layer overlay accuracy for multiple level device fabrication. To fabricate a transparent SFIL template, processing techniques familiar to existing binary phase shift mask fabrication are utilized. However, in order to fabricate the sub-100 nm features necessary for SFIL templates, thinner resist and chromium are necessary. Initial resolution tests have resulted in features sizes down to ~20 nm with the non-chemically amplified resist, ZEP520. Template to template overlay of <15 nm (mean + 3σ) can be achieved if the template fabrication procedure consists of a single 1” template exposed in the center of a 6” × 6” × 0.25” quartz blank.
Step and flash imprint lithography (SFIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes SFIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. In addition, the imprint process is performed at low pressures and room temperature, minimizing magnification and distortion errors. The purpose of this work was to investigate alternative methods for defining high resolution SFIL templates and study the limits of the SFIL process. Two methods for fabricating templates were considered. The first method used a very thin (<20 nm) layer of Cr as a hard mask. The second fabrication scheme attempts to address some of the weaknesses associated with a solid glass substrate. Because there is no conductive layer on the final template, scanning electron microscopy (SEM) and defect inspection are compromised. By incorporating a conductive and transparent layer of indium tin oxide on the glass substrate, charging is suppressed during SEM inspection, and the transparent nature of the final template is not affected. Using ZEP-520 as the electron beam imaging resist, features as small as 20 nm were resolved on the templates. Features were also successfully imprinted using both types of templates.
Sub 100 nm T-gate structures have been produced using a tri- level resist stack modified from previously published results utilizing ZEP7000A, PMGI and ZEP520A positive e-beam resists. The new resist stack replaces the top resist with the more sensitive ZEP7000A from Zeon Chemical. The does sensitivity of the ZEP1000A is an order of magnitude higher than the ZEP520A. This difference provides the flexibility to create T-gate structures with larger top cross-sectional dimensions, which increase the transconductance. The technique can also be used to create other novel structures such as gamma-gates and small air bridges, by varying the dose on the different parts of a feature design to achieve the desired three-dimensional resist structure.
Step and Flash Imprint Lithography (SFIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes SFIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. The purpose of this work is to investigate alternative methods for defining features on an SFIL template. The first method used a much thinner (< 20 nm) layer of Cr as a hard mask. Thinner layers still suppress charging during e-beam exposure of the template, and have the advantage that CD losses encountered during the pattern transfer of the Cr are minimized. The second fabrication scheme addresses some of the weaknesses associated with a solid glass substrate. Because there is no conductive layer on the final template, SEM and defect inspection are compromised. By incorporating a conductive and transparent layer of indium tin oxide on the glass substrate, charging is suppressed during inspection, and the UV characteristics of the final template are not affected. Templates have been fabricated using the two methods described above. Features as small as 30 nm have been resolved on the templates. Sub-80 nm features were resolved on the first test wafer printed.
Step and Flash Imprint Lithography (SFIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes SFIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. In addition, the imprint process is performed at low pressures and room temperature, minimizing magnification and distortion errors. The purpose of this work was to investigate alternative methods for defining high resolution SFIL templates and study the limits of the SFIL process. Two methods for fabricating templates were considered. The first method used a very thin layer of Cr as a hard mask. The second fabrication scheme attempts to address some of the weaknesses associated with a solid glass substrate. Because there is no conductive layer on the final template, SEM and defect inspection are compromised. By incorporating a conductive and transparent layer of indium tin oxide (ITO) on the glass substrate, charging is suppressed during SEM inspection, and the transparent nature of the final template is not affected. Using ZEP-520 as the electron beam imaging resist, features as small as 20 nm were resolved on the templates. Features were also successfully imprinted using both types of templates.
The Semiconductor Industry Association (SIA) has placed stringent requirements on Next Generation Lithography mask critical dimension (CD) control. A new chemically amplified (CA) positive resist, Shipley XP2040D was evaluated for mask making application. This resist exhibited an extraordinary post exposure bake (PEB) sensitivity, less than 0.6 nm/ degree(s)C, along with a sub-50 nm resolution. The PEB stability in ambient was larger than 4.5 hours and the line-edge-roughness (LER) was less than 2.9 nm.
A negative tone, chemically amplified deep ultraviolet resist, ShipleyR UVN30, has been evaluated for use in NGL mask fabrication and direct write applications. This resist displayed excellent exposure latitude and resolution for both dense and isolated features. At optimum conditions 50 nm isolated lines and 60 nm dense lines resolved in a 300 nm thick film. Exposure dose latitude was 32%. Resist coat shelf life tests produced CD variations of 5 nm after 1 week and 10 nm after 3 months. A 66 hour post exposure bake delay in vacuum produced a 9 nm CD variation. PEB temperature sensitivity was 3 nm per degree Celsius. By selecting appropriate process conditions exposure latitude and resolution were optimized while decreasing linearity and line edge roughness performance. This paper reviews the test conditions for performing the optimization experiments and discuses the potential of using this resist for both advanced mask fabrication and direct write application.
Two new positive tone, chemically amplified, DUV resists from Shipley, XP-9525 and XP- 9549Q (UV III) have been investigated for use as direct write e-beam resists. Both of these materials have shown extremely high resolution capabilities while maintaining excellent sensitivity to e-beam exposure. Sub-0.20 micrometers line and space gratings were resolved in UV III, and 0.10 micrometers gratings were resolved in XP-9525. A formal design of experiment was created and used as a framework to develop a process for UV III which would optimize several resultant responses including: exposure latitude, edge roughness, and sensitivity. This paper will discuss the process development of these resists, and detail their performance characteristics. Effects relating to post-exposure bake delay will also be considered due to the susceptibility of many chemically amplified resists to airborne contaminants. UV III exhibited much greater stability than XP-9525, and was able to maintain precise linewidth control after 4 hours of delay, making it acceptable for use in a normal process environment. In contrast, XP-9525 exhibited severe T-topping after post-exposure bake delays of only 15 minutes, a condition which can only be solved using additional processing steps and/or environmental controls.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.