Open Access
31 March 2022 Review of essential use of fluorochemicals in lithographic patterning and semiconductor processing
Author Affiliations +
Abstract

We identify and describe categories of fluorochemicals used to produce advanced semiconductors within the lithographic patterning manufacturing processes. Topics discussed include the per- and polyfluoroalkyl substance (PFAS) materials used and their necessary attributes for successful semiconductor manufacturing, consisting of photoacid generators, fluorinated polyimides, poly(benzoxazole)s, antireflection coatings, topcoats, and embedded barrier layers, fluorinated surfactants, and materials for nanoimprint lithography. In particular, an explanation is given of the particular function that these PFAS materials contribute. It is noted that in almost all cases fluorine-free alternatives are very unlikely to provide the essential properties present in PFAS systems. Nonfluorinated alternative compounds are discussed where available. Finally, a summary table is provided listing the families of materials discussed, the critical purpose served, what the PFAS compound provides, and the prospects for alternatives.

1.

Introduction

The use of fluorochemicals in lithography and semiconductor patterning plays a critical role in the success of semiconductor technology. The addition of small quantities of fluorinated materials enables patterning capabilities that are otherwise not possible to achieve, and this leads to superior device performance. The compact size of the fluorine atom and its strong electron-withdrawing characteristics make it stand out in the periodic table and gives fluorocarbon materials unique properties, unmatched by other chemical compounds. Fluorochemicals have found use in semiconductor processing for good technical reasons.

  • 1. The presence of fluorine near acidic groups can convert them from an acid to a superacid, an essential characteristic for photoacid generators (PAGs) needed in advanced photoresists.

  • 2. Fluorocarbon materials have low surface energy characteristics and act as superior barrier layers (including water repellence), which provide useful properties in photoresists and in antireflection coatings used in immersion lithography while also providing excellent release properties because they do not adhere strongly to other materials.

  • 3. Fluorinated materials have unique solubility characteristics and can prevent intermixing between layers in a complex system such as an antireflection coating. Fluorinated materials are both hydrophobic and oleophobic and thus have reduced or no miscibility with essentially all fluorine-free classes of polymers.

  • 4. Fluoropolymers have a low refractive index compared with any material except air and provide useful optical properties in photoresists and antireflection coatings.

  • 5. They possess low dielectric constant and are especially good electrical insulators, an important feature when polyimides are patterned and retained in the final device. This document provides a systematic overview of the photolithography process and key fluorinated materials involved, provides insight into performance requirements, and describes why fluorinated chemicals help achieve needed characteristics.

Photolithography, a critical process step in the production of a semiconductor, uses a photoresist to transfer a pattern. The primary component of a photoresist is a photopolymer whose solubility will be changed upon exposure to short wavelength radiation. In addition, the photoresist contains a deposition solvent and several small-molecule compounds. The desired solubility change must be great enough that a developer (a solvent that removes the unwanted region of a resist pattern) does not swell the remaining photoresist. The development process must be able to discriminate between exposed and unexposed regions as small as a few nanometers in size. The unremoved photoresist must protect the underlying substrate from the next process steps in semiconductor manufacturing. Each stage in the process must be virtually perfect with yields well above 99%, because there may be hundreds of process steps used to manufacture each advanced semiconductor device. Without those very high yields, semiconductor manufacturing would fail.

The basic lithography process used globally today for advanced semiconductor manufacturing and the foreseeable future employs chemically amplified photoresists. Chemical amplification was a key invention needed to overcome the challenge of limited light sources but was also found to provide superior patterning performance. In such resist systems a photopolymer that contains acid cleavable protecting groups is combined with a photoactive compound, such as a PAG. In its native state, the photoresist polymer with protecting groups is soluble in organic solvents. Upon exposure to UV radiation, the PAG releases acid. Frequently, a subsequent postexposure bake (PEB) step leads to the acid-catalyzed removal of protecting groups, thereby transforming the hydrophobic photopolymer into one that is soluble in an aqueous base developer. The single photon of light needed to release one acidic proton is “amplified” by the more efficient acid-catalyzed deprotection process. By transforming the solubility of the photoresist, a high contrast patterning process needed in semiconductor manufacturing becomes possible. The combination of photoresist polymer and PAG to make the photoresist system is an essential part of this process and fluorination in the PAG provides the high acidity necessary for chemical amplification to work and will be described subsequently.

The lithographic process is a complex series of steps requiring, at times, several complex properties in a single material or other cases combination of different materials used in the same process step. An example of the latter can be represented by the use of an antireflective coating in combination with a photoresist. An antireflection coating (ARC) is important to prevent light reflected from the semiconductor substrate, which would otherwise alter the very precise molecular scale patterns required for today’s semiconductor devices. An ARC does this by minimizing the refractive index difference across each interface of all layers in the system. As an example, a top ARC (TARC) is a layer that sits on top of the already complex photoresist. It must not intermix with the photoresist, and it can also serve as a protective layer for this complex, multilayer lithographic system. Finally, it must be easily removed. Only a fluorinated material has a significantly lower refractive index and fluorination also provides these additional properties. More details for ARCs will be discussed below.

Additional uses of fluorochemicals in photolithography processes are also discussed in this paper. It is worth noting that while there are many types of fluorochemicals, our survey of the technical literature reveals that there are several specific examples of fluorocompounds that are currently in use by the semiconductor industry in the lithography process including (1) perfluoroalkyl acid compounds (C4 or less), used in PAGs; (2) hexafluoroisopropanol, fluorotelomers, and fluoroacrylate side-chain units may be used in photoresists to incorporate specific functionalities including barrier properties and low surface energy; (3) hexafluoropropyl units are used in sub-units of some classes of polyimides for thermal stability and low dielectric constant; (4) specialized per- and polyfluoroalkyl substances (PFAS) are used in ARCs; (5) PFAS are also include surfactants (used as coating leveling agents) to improve coating uniformity in a number of products used in lithographic processes. A key feature of the addition of a fluorinated component is that its addition provides a necessary additional characteristic to the material while minimally compromising its other critical properties. Examples of these materials and uses are tabulated in the Appendix. This paper discusses current PFAS use in the field of photolithography, explains why certain materials are used, reviews in part the current understanding of PFAS degradation during processing, and where possible, identifies alternative materials.

One of the special features of the CF bond is its strength compared with the CC bond due to the electron-withdrawing power of the fluorine atom. This attribute is the basis of many of the technical benefits of fluorinated materials in semiconductor processing but leads to its chemical stability and environmental persistence. Fluorination brings specific improvement in performance, and its targeted incorporation can minimize the quantities of material needed to achieve that performance. Such aspects are discussed in the context of PAGs. Thus, despite the remarkable performance improvement in many aspects of the lithographic process provided by fluorochemicals (PFAS) that makes possible the semiconductor revolution with its benefit to society, the large and growing environmental and societal concerns surrounding PFAS may counterbalance the positive technological benefits of these materials. The reader is referred to a discussion of such PFAS concerns in a well-written review article, but photolithography chemicals are largely glossed over.1 Going forward, due to environmental and regulatory concerns, performance equivalent alternatives for many of these applications still need to be identified and this will be a major research challenge.

This paper presents a detailed discussion of the different types of PFAS used in advanced lithographic patterning and semiconductor manufacturing paying specific attention to the unique physical-chemical attributes of these chemistries that make them essential for semiconductor manufacturing. Specifically, we break the PFAS used in semiconductor manufacturing into six main categories of fluorochemicals used in photolithography and semiconductor patterning. For each category, we discuss the critical function served by the fluorochemicals and why the specific fluorocompounds are used, based on the unique properties provided by the chemical. However, it is worth noting that there are required processes in the semiconductor manufactory using per-fluorinated compounds such as etch gases for metal etching, wet cleaning chemicals to clean and condition substrate, and other minor processes that are not covered further in this paper.

Based on concerns regarding the high persistence, bioaccumulation potential, and potential toxicity of PFAS studied to date, it has been suggested that the use of PFAS be limited to essential uses only.

We discuss whether viable alternatives exist for each of these applications and the characteristics that must be achieved to find an alternative compound where none currently exists. Finally, we apply the essential use concept described by Cousins et al.2 to show that these compounds should be considered essential for certain processes in semiconductor manufacturing (i.e., photolithography and patterning) because they provide for vital functions and are currently without established alternatives. The prior paper did an excellent job of discussing different aspects of PFAS use. In this paper, we focus our discussion of essential use as “necessary for highly important purposes in semiconductor manufacturing for which alternatives are not yet established.” We describe the many uses and unique properties of PFAS chemicals, which in our opinion justifies their current use as essential in microelectronics manufacturing and for which alternatives have not yet been adequately identified. This paper is not intended to be an extensive listing of every example of fluorochemical used in photolithography but does attempt to explain strategies and classes of material used in the manufacturing of semiconductors.

2.

Photoacid Generators

PAGs are photoactive compounds that generate acids upon exposure to high-energy light [deep ultraviolet (DUV) or extreme ultraviolet (EUV)]. These photoactive compounds were originally used for applications in photopolymerization in the early 1960s.3 After the introduction of chemically amplified resists (CARs) in the 1980s, they have been used in semiconductor manufacturing as key components in advanced photoresists. It is important to understand that the process of chemical amplification requires a very strong acid in the PAG to function well. PAGs are now highly evolved with over 40 years of in-depth research and development for photoresist applications. A positive tone resist polymer after deprotection, for example, contains weak acid groups that will act to buffer (weaken) the acidity of the deprotection process. Without the presence of the strong fluorosulfonic (or stronger) acid, the catalyzed deprotection process will be less efficient or may not even occur. Sulfonate anions without fluorination have repeatedly been shown to be inadequate for use ineffective 193 nm chemically amplified photoresists and this is well known in the photoresist community. The unique characteristics of fluorine (noted below), which lead to very strong proton donation by fluorinated sulfonic acids, are essential in CARs. This intrinsic benefit of fluorinated acids makes it extremely difficult to eliminate the use of fluorinated acids whilst retaining the key performance characteristics of CARs needed for advanced photolithography in microelectronics manufacturing. Other attributes of a PAG that depend less on the acid and more on the chromophore include quantum yield at the wavelength of use, the sensitivity of the overall resist formulation (e.g., 15 to 60  mJ/cm2), miscibility in the resist matrix, thermal and hydrolytic stability and shelf life of the photoresist, solubility in aqueous base developer for positive tone develop or organic solvent for negative tone development followed by removal in the resist strip operation. In general, PAGs are divided into two categories: either ionic or covalent (nonionic) structures. As the name suggests, ionic PAGs consist of two portions: a cation and an anion. In addition, covalent PAGs are uncharged, nonpolar compounds that are constructed of covalent bonds but are generally less sensitive and therefore less effective than ionic PAGs. The availability of both ionic and covalent PAGs offers process flexibility. In some cases, the presence of ionic groups may lead to storage instability of the photoresist mixture or the inhomogeneous distribution of photoactive compounds in the photoresist, thus making a nonionic PAG necessary. However, most photoresist compositions that are used in semiconductor manufacturing employ ionic PAGs because of their greater sensitivity. Examples of PAGs are shown in Figs. 1 (ionic) and (covalent).

Fig. 1

Representative ionic PAGs: 1,2,3,4 Sulfonium PAG and 5,6 Iodonium PAG.

JM3_21_1_010901_f001.png

In either case, a fluorinated sulfonic acid would be used to make an effective PAG. The photoefficiency difference between ionic and covalent PAGs, which leads to higher quantum yields in the ionic PAG is controlled by the cation.4 The low diffusivity and high strength of the acid resulting from the photolysis of the cation are controlled by the resulting accompanying fluorosulfonate anion. These anions are used in virtually all current commercial photoresists. Limited diffusivity is important to achieving high-resolution patterns because excess diffusion of the PAG has been shown to limit the resolution of the images produced in a CAR. While aromatic sulfonic esters are shown in some nonionic PAGs described in Fig. 2, the strength of the resulting sulfonic acid after photolysis is not as high as the ionic PAGs with fluorinated sulfonate anions.

Fig. 2

Representative nonionic covalent PAGs.

JM3_21_1_010901_f002.png

Covalent PAGs do not suffer from the sorts of phase separation, low miscibility, and dark loss (the dissolution of unexposed photoresist) issues that may occur in ionic PAG-containing resist formulations, but the quantum yield of photoacid generation is generally lower for covalent PAGs so this and other factors drive the ultimate choice of PAG.5 In order to increase the acidity of the photoacid, perfluorinated methylene units may be placed next to the sulfonate group in both ionic and covalent PAGs. The polarization present in the CF bond due to the electron-withdrawing character of fluorine stabilizes the acid anion and makes the acid stronger. A sulfonic acid such as methane sulfonic acid has a pKa of 2 (already a strong acid) but trifluoromethyl sulfonic acid (triflic acid) has a pKa of 14. Any induction effect is significantly smaller after two or three CF2 units, so the relative benefit of fluorination is significantly reduced as the neighboring CF2 units are further away from the acid group. The original choice of longer sequence perfluorinated sulfonates (six or more) has not been explained in patents or the literature but was likely due to the effectiveness of the resulting PAG, the reduced diffusivity because it is a larger molecule, its availability, and the lack of volatility in this material. For example, the volatility of the small triflate anion limits its use in a production photoresist PAG because the resulting concentration gradients in such photoresist films harm performance. However, shorter CF2 segments (1 or 2) next to the anion and connected to other units of higher mass have been shown to make effective PAGs (see Sec. 2.3).6 Finally, the diffusivity of the PAG will affect pattern resolution (less diffusion enhances resolution) and can be addressed by the use of a higher molar mass PAG/acid and even covalent attachment of the PAG to the photoresist polymer itself (see Sec. 2.4). Although actively used in some applications, triflic acid is not always a useful component in a PAG since it may have significant deficiencies when used in a very high-resolution CAR system; it is volatile and may evaporate during the PEB step leading to composition gradients that are detrimental to image resolution and it readily diffuses during annealing, which may, in turn, lead to pattern degradation from deprotection chemistry occurring in unexposed areas, effectively reducing image contrast and disrupting pattern formation.

2.1.

Ionic PAGs and Their Photochemistry

Most ionic PAGs used in lithography are onium salt derivatives. Such ionic compounds consist of an onium moiety as the cation and sulfonate groups as the anion.4 Upon exposure, photolysis occurs and photoacid is formed. The quantum yield of the photoacid is directly impacted by the cation fragment. The acidity of the generated photoacid as noted above is controlled by the anionic fragment in the PAG (usually a fluorinated sulfonic acid). The rate of photoacid release is controlled by both cation and anion. Returning to Fig. 1, ionic PAGs are generally composed of either diaryliodonium or triarylsulfonium photoactive units to form a salt with an appropriate anion. Triarylsulfonium PAGs usually have longer shelf life compared with diaryliodonium salt. However, a diaryliodonium salt has higher absorptivity in particular for next-generation 13.5-nm wavelength EUV photons. The mechanism of photolysis of diaryliodonium salt4 and triarylsulfonium salts7,8 has been studied extensively. Reported photolysis mechanisms for diaryliodonium salt and triarylsulfonium salts are shown in Figs. 3 and 4, respectively. The quantum yield of the photoacid is directly impacted by the cation fragment. The acidity of the generated photoacid as noted above is controlled by the anionic fragment in the PAG (usually a fluorinated sulfonic acid). The rate of photoacid release is controlled by both cation and anion. In Fig. 3, the energy required to cleave the aromatic C (sp2) and iodine bond is somewhat higher compared with the energy required to promote bond cleavage between the aromatic C (sp2) and sulfur bond (Fig. 4).

Fig. 3

Proposed photolysis mechanism for diaryliodonium salt under DUV exposure. Reproduced from Ref. 8.

JM3_21_1_010901_f003.png

Fig. 4

Proposed photolysis mechanism for triarylsulfonium salt in solid poly(methyl methacrylate) matrix exposed to 266-nm irradiation (2631  mJ·cm2). Reproduced from Ref. 9.

JM3_21_1_010901_f004.png

Generally, the sulfonium PAG family is more widely used than iodonium PAGs considering its greater sensitivity and longer shelf life when used in either DUV or EUV lithography. Reference 8 reports solution results for exposure of the triphenylsulfonium cation. More recent results of solid-state polymer matrix results are shown in Fig. 4.9 Solid-state studies at 193, 248, and 266 nm exposures reveal additional products including in all cases, two previously unreported Triphenyl sulfonium photoproducts, triphenylene, and dibenzothiophene.

2.2.

Nonionic Covalent PAGs and Their Photochemistry

Although ionic PAGs have higher sensitivity in lithographic applications, they may be less soluble and more prone to phase separation in photoresist formulations. It is worth recalling that the PAG is needed to generate acid in the exposed regions to deprotect the photoresist and thereby change its solubility. Uniform distribution of a PAG is an essential attribute to excellent performance in a photoresist. Detrimental interaction between ionic structures in a photoresist and an ionic PAG may also occur in future resist materials.10 To overcome such issues, covalent PAGs may be attractive alternatives.4 In general, covalent PAGs are derivatives of arylsulfonates,11 iminosulfonates,12 and imidosulfonates.13 Arylsulfonate esters can be easily synthesized from phenol and sulfonyl chlorides. A similar effort to create fluorinated sulfonate ester-containing covalent PAGs has not taken place because such PAGs have not been as effective in photoresist applications. The photoacid generation mechanism is proposed based on the nonfries photolytic ArOS bond cleavage (pathway A) or pseudofries rearrangement (pathway B), which is more likely to occur for electron-rich aryl sulfonates as shown in Fig. 5.14,15

Fig. 5

Photoacid generation mechanism for arylsulfonate esters.

JM3_21_1_010901_f005.png

It is worth noting that in pathway A, in the presence of oxygen and water, stronger sulfonic acid is generated. In the absence of oxygen, weaker sulfurous acid is produced. Iminosulfonates and imidosulfonates have similar chemical structures with the NO bond undergoing homolytic cleavage upon irradiation to generate sulfonyloxy radicals, which subsequently capture hydrogens from nearby molecules to afford the corresponding sulfonic acid as shown in Fig. 6.

Fig. 6

Photoacid generation mechanism for iminosulfonates and imidosulfonates.

JM3_21_1_010901_f006.png

2.3.

Alternatives to Current PAGs

PAGs other than iodonium and sulfonium units as well as those that do not contain traditional PFAS have also been studied for use in photolithography. To be used successfully in a CAR photoresist, the resulting acid must be as acidic as a perfluorosulfonic acid, lack volatility so that it does not evaporate during the PEB step, and in the next generation photoresists possess minimum diffusivity (to enable high-resolution pattern formation). The PAG-resist combination should have a sensitivity in the range between 10 and 75  mJ/cm2 under exposure conditions i.e., the source wavelength and tool-specific settings. Some new photoresists attach the PAG directly to the photopolymer chain to both limit diffusion and deal with issues of stochastic variations that may be present in photoresists consisting of mixtures of polymer and photoactive molecules. Nontraditional PFAS Covalent PAGs: Nitrobenzyl esters have found some application in DUVL and may be extendable to EUV lithography.10 Such molecules can generate photoacid upon irradiation through o-nitrobenzyl rearrangement to generate nitrobenzaldehyde and a sulfonic acid such as triflic acid shown in Fig. 7.

Fig. 7

Chemical structure for non-PFAS covalent PAGs: (a) nitrobenzyl ester and (b) terarylene backbone-based PAG.

JM3_21_1_010901_f007.png

The chemical structure is shown in Fig. 7(a). The terarylene skeleton-based self-contained PAG is another potential candidate for some applications. The photoacid generation is triggered by the 6π-electro-cyclization reaction of photochromic triangular terarylenes.16 The chemical structure is shown in Fig. 7(b). Similarly, a triflate ester is used in the reported structure to release triflic acid upon exposure. While these and other structures can be used to demonstrate PAG concepts, they are unlikely to be as useful in new high-resolution photoresist systems because they use triflate groups. Alternative acids may be used to make more suitable PAGs from the moieties in Fig. 7. Should a useful PAG be produced from these types of photoactive structures the resulting sulfonic acid will need to be less volatile and less mobile in the polymer film? A higher molar mass, much less volatile, lower diffusivity anion might work well with these materials in a functioning photoresist system. Nontraditional PFAS Ionic PAGs: Ionic PAGs derived from 2-phenoxytetrafluoroethane sulfonate were introduced by Ober and coworkers in 2007.17 This PAG was tested under e-beam and EUV radiation and showed high sensitivity, resolution, and acceptably low line edge deviations. The use of such a fluorosulfonic acid has the advantage that it limits fluorine content yet produces a very strong acid with both limited volatility and diffusivity by placing a CF2 group next to the acid group. Such an approach (discussed more below) can be used to minimize fluorine incorporation while placing this structure where it is most valuable. Its chemical structure is shown in Fig. 8(a). This PAG was tested for its environmental degradation and its effect on bacterial populations when first reported and found to be benign under the rules of that time.

Fig. 8

Chemical structure of untraditional ionic PAGs: (a) 2-phenoxytetrafluoroethane sulfonate PAG and (b) pentacyanocyclopentadienide PAGs.

JM3_21_1_010901_f008.png

The good lithographic results suggest that shorter fluorinated segments (two or possibly one CF2 unit adjacent to the sulfonic acid) may make useful ionic PAGs. It should be noted that the building blocks for sulfonic acids with one CF2 are the subject of experimental studies. The pentacyanocyclopentadienide PAG is another potential ionic PAG candidate in some applications. Its lithographic performance was demonstrated by Varanasi and coworkers in 2010, and it stands out for the amount of publicity it received.18 The chemical structure is shown in Fig. 8(b).

While announced in 2010 as part of IBM’s efforts to reduce Perfluorooctanoic acid (PFOA) in its manufacturing process, to the best of our knowledge, this PAG was not commercialized. Finally, PAGs based on glucose or other natural products have been explored. These PAGs were demonstrated to be functional materials for some high-resolution photoresist applications enabling sub-100nm features using ArF laser and e-beam lithography. Moreover, these PAGs showed successful microbial degradation to smaller molecular units under aerobic conditions. The chemical structures are shown in Fig. 9.

Fig. 9

Chemical structures for natural products-based PAGs.

JM3_21_1_010901_f009.png

Such studies revealed the successful biodegradation of these PFAS units to smaller oxidized components as well as low bacterial cytotoxicity19,20 of the photoactive sulfonium subunit. In general, the anionic units underwent biodegradation using sludge from a local municipal wastewater treatment plant. The sugar or cholesterol groups appeared to degrade easily leaving only a short, fluorinated acid residue. An advantage of these structures is that the residues retain polar functional groups and are therefore more hydrophilic than PFOS/PFAS units. This makes them less likely to accumulate in fatty tissues, but further studies are needed to identify any bioaccumulation characteristics. The photoactive cation unit but not the fluorinated anion was generally found to be cytotoxic to the bacteria. Importantly, the short, fluorinated segment enabled the formation of a high-performance PAG that could be subjected to successful biological degradation.

More recently, patents have appeared that describe a number of related chemical structures, the goal of which is intended to deliver strong PAG performance and minimize the size of the fluorinated unit in the fluorosulfonic acid or eliminate it entirely. These patents claim excellent lithographic performance.21 These and other patents describe PAGs with shorter fluorinated segments,22 some of which are designed to fall into small molecular pieces.23 To assess their viability as alternative PAGs their performance characteristics (sensitivity, acid strength, and diffusivity) and environmental characteristics (fluorine content, degradation products, and toxicity) will need to be assessed.

2.4.

Polymer-Bound PAGs

One approach to increasing the resolution to photolithography is to employ PAG that is incorporated into the photoresist polymer structure.24 It has the advantage of making the distribution more uniform and at the same time limits the diffusivity of the sulfonate anion since it is bound to the photoresist polymer. Resolution is set in part by the diffusivity of the PAG in the photoresist formulation, which is associated with the size of the molecule. The smaller the anion, the farther the photogenerated proton can diffuse in a given time. If the PAG acid diffuses too broadly then deprotection of the photoresist takes place in unwanted regions and makes the pattern larger, less precise, and “blurry.” These pattern irregularities are characterized in terms of line edge roughness, line width roughness, and critical dimension uniformity. Examples of bound-PAG structures have been reported and two are described below shown in Fig. 10.

Fig. 10

Examples of polymer-bound PAGs. (a) Single CF2 unit next to sulfonate21 and (b) single CF2 unit next to sulfonate in a structure that falls apart on exposure; groups (R1, and R2) not specified groups while R3 is a linking group.20

JM3_21_1_010901_f010.png

This strategy also lowers concerns about “stochastics,” i.e., the chemical heterogeneity of a photoresist mixture at the dimensions of the pattern are thought to also contribute to the limit of resolution of today’s most advanced lithographic processes. Upon exposure, the fluorosulfonate group becomes protonated, catalyzes deprotection of the rest of the photoresist chain, but the strongly acidic proton cannot diffuse broadly because it remains near the anion bound to the polymer chain and thereby forms higher resolution patterns. By attaching the same number of PAG units to each polymer chain, then the PAG is uniformly distributed throughout the photoresist film. This strategy is being seriously considered for future generations of photoresists, particularly for use in EUV lithography.25 These examples share several common features, including the attachment of the anion to the polymer backbone. Since many CAR photoresists are based on (meth) acrylates, examples reported for 193 nm (DUV) resists [shown in Figs. 10(a) and 10(b)] possess a sulfonate anion and an adjacent CF2 unit, which then is connected to the methacrylate monomer through an ester linkage. While it has not been established if one or two CF2 units are needed to produce sufficiently strong anion, this example demonstrates one approach and good prospects for polymer-bound PAGs.

3.

Fluorinated Polyimides

In an increasing number of applications, the photopatterned polymer is not removed but is retained as part of the device, even though the lithographic requirements are not as stringent as the high-resolution photoresist systems discussed above. Their use ranges from semiconductor packaging to lithographic insulation patterns for integrated circuits. Under these circumstances a completely different photopolymer must be used and have properties of very high thermal stability, strong mechanical properties (high Young’s modulus, good fracture toughness), low dielectric constant (be an insulator), and moisture resistance.26 In this highly demanding application only a few polymers can provide this complex set of properties and, among them, polyimides have been found to provide the best trade-off between processing and performance. Polyimides themselves bring many of these necessary attributes but the introduction of fluorinated groups is used to incorporate a chemical function capable of withstanding high process temperature, making the final material more moisture resistant and providing a lower dielectric constant than otherwise possible without compromise to other necessary properties.

The technical literature reveals that polyimides are used in a number of processes and applications in photolithography.27 Polyimides are a family of polymers characterized by high thermal stability, excellent thin film mechanical properties, good adhesion properties, and a low dielectric constant and dissipation factor. In particular, rigid functional groups such as phenylene- and less polar functional groups provide low dielectric constant (Δk) and good mechanical toughness (resistance to tearing). Polyimides are unique as a family of polymers because they have among the highest glass transition (softening) temperatures known in a polymer (>200°C) and they are thermally stable because the polymer chain consists of interconnected aromatic rings. These properties make polyimides able to withstand the high-temperature processing used in semiconductor manufacturing. Like all polymers, they can be etched with the right etchants and therefore patterned, they are amorphous and transparent so they can be used to guide light and they have a lower dielectric constant than many other components in a device so they can be insulators, but unlike other polymers they come with the ability to withstand very high-temperature processing without physical softening and deformation. They often remain in the semiconductor device, unlike most other photolithographic layers.

Photopatternable polyimides are generally made from a poly(amic acid) precursor such as one made from oxydianiline (ODA) (YO) and a dianhydride (such as pyromellitic dianhydride), which can be spin coated onto a substrate (see Fig. 11).

Fig. 11

Synthesis and structure of polyimides for photolithographic processes.

JM3_21_1_010901_f011.png

However, photocrosslinkable acrylate (or similar) groups are incorporated in the soluble poly(amic acid). A photoradical initiator is used to crosslink the acrylate groups and the pattern is developed in this negative tone system. Then a high-temperature bake step is used to transform the poly(amic acid) to the polyimide (with loss of the acrylate groups) to form its final high thermal stability, patterned and insoluble polyimide form. Any component in the final polyimide must withstand this high-temperature bake step.

Among the applications of polyimides in microelectronics processing, they find use as thick film photoresist, sacrificial layers, and structural layers. It is notable that the structure of a fluorinated unit, when incorporated into the polyimide, largely employs the identical hexafluoroisopropyl unit regardless of the application.2830 Hence, in the most common examples, the polyimides consist of tetracarboxylic acid anhydride derivatives and aromatic diamines, as shown in Fig. 11. The polyimide polymer itself has a softening temperature too high for melt processing, but this group of polymers offers processing through its poly(amide) intermediate. The intermediate is soluble, can be coated in a thin or thick film, and after patterning is converted to the polyimide through the heating step making it an ideal material for integration with semiconductor manufacturing. The soluble intermediate can be made into a polymer that is directly photo-patternable as shown in the poly(amide) in Fig. 11. The acrylate modified poly(amide) is photo-crosslinked upon exposure to UV radiation in the presence of a photoradical generator and then a pattern is formed. After development, the patterned polymer is subsequently transformed to the final polyimide by thermal processing. It is known in the art that the insertion of the fluorinated hexafluoroisopropyl functional group into the backbone provides a combination of better solubility in processing solvents, lower dielectric constant (more insulating), and provides higher thermal and thermooxidative stability compared with other alternate chemical functions.31

It must be noted that similar insulator properties have been claimed for the targeted optimization of a polyimide chemical structure without the presence of fluorinated residues such as CF3- and others, which has been successfully demonstrated in at least one scientific study.32 Araki et al.32 recently described the synthesis of a novel low dielectric constant (Δk) and low dissipation factor (Δf) polyimides suitable for insulator of redistribution layers used as an interposer layer in wafer-level packaging. However, this polyimide replaces the thermally stable aromatic structure with a silicone segment (chemically identical to bathtub caulk) to achieve the insulating properties. While this new polyimide has good dielectric properties, unmentioned in the report is the fact it undoubtedly has poor mechanical properties, thermal stability and introduces a softening temperature well below materials used in this semiconductor manufacturing application. To demonstrate equivalence to the fluorinated polyimides, it would be necessary to evaluate these new polymers in a series of comparative studies. It is likely that the lower glass transition temperature and the higher associated thermal expansion changes of the silicone-based system would lead to mechanical stresses that severely limit its use outside of simple packaging applications.

No literature was found on the in-process or environmental degradation of these fluorinated polyimides.

4.

Fluorinated Polybenzoxazoles

Building on the properties described for fluorinated polyimides, the industry has requested materials with similar properties, which could be patterned using the more generally acceptable aqueous tetramethylammonium hydroxide based developers. One way to achieve this end was to replace the polyamic acid derivative precursors with polyhydroxyamide precursors to polybenzoxazole, which could, after patterning and cyclization, yield a polybenzoxazole (Fig. 12).

Fig. 12

Figure showing ring closure of precursor polymer to form polybenzoxazole polymer after thermal treatment.

JM3_21_1_010901_f012.png

The phenolic group allows for development by aqueous base, whereas use of classical diazonaphthoquinone (DNQ) photoactive units to modify the base solubility as in positive-tone photoresists allows for the needed selective patterning (Fig. 13).4

Fig. 13

Ring closure of precursor polymer to form polybenzoxazole polymer after thermal treatment.

JM3_21_1_010901_f013.png

Alternatively, other protective groups such as acid-labile ethers and a PAG can also be used, as are common in advanced positive tone photoresists. These materials provide properties similar to polyimides, including thermal stability, tensile strength, and transparency as polyimides while also allowing easier processing. The incorporation of a hexafluoroisopropylidene containing monomer again confers the needed properties of transparency in 365 nm applications, good moisture resistance, thermal stability, reduced darkening after cure, and the correct solubility in aqueous development. Other additives are used to further control base solubility.3336 The DNQ PAC may either be added to the formulation or incorporated into the polymer backbone as shown below.

5.

Antireflection Coatings and Topcoats

The purpose of an antireflection coating (ARC) is to prevent reflection of the imaging radiation from interface layers that produce unwanted exposure effects including standing waves. An important attribute of an ARC is to tune the refractive index difference across each interface, and reflection from the many interfaces between layers is suppressed. A difference in refractive index is essential in preventing unwanted reflection of imaging radiation, which otherwise has a detrimental effect on pattern exposures. Fluorinated materials are important because they have a lower refractive index than virtually any other material category. For example, the refractive index of poly(trifluoroethyl methacrylate) is 1.418 compared with a polymer chemically similar to photoresist materials, poly(2-methoxy styrene) with its refractive index of 1.585, a significant and critical difference for an antireflection coating. Ideally a TARC, e.g., should have an RI value of 1.3 and even with fluorinated materials, a good TARC refractive index is currently between 1.4 and 1.45. In addition to their optical properties, ARCs must not intermix with the photoresist as the different layers are deposited, and fluorination helps make that possible. Important requirements for ARCs also include ease of etching, their adhesion to a substrate, and precise thickness deposition.3739 Bottom ARCs (BARCs) are also used to form a level surface for a photoresist. Processing of ARC and topcoat materials depend very much on where they sit in the lithographic stack (on top or on the bottom) and a combination of etch, rinse and/or development steps are used in processing. This paper does not detail these differences. TARC materials require first and foremost controlled and reduced refractive index (RI), good mechanical properties for film formation as well as excellent etch characteristics (faster etching than the photoresist). The low RI properties and immiscibility (by being both nonpolar and oleophobic) with the photoresist are mainly achieved by the incorporation of short, fluorinated groups such as CF3- and C2F4- units in the TARC, although longer fluorinated segments have been used. An example of a generic chemical structure of an ARC is shown in Fig. 14 in which a base soluble fluoropolymer is displayed.40

Fig. 14

Composition of a commercial ARC; n=m.40

JM3_21_1_010901_f014.png

It is also possible to achieve immiscibility between ARC and resist using cyclic perfluorinated ether units in the ARC41 Finally, fluorinated surfactants have also been used to improve ARC coating quality, and more is discussed about such surfactants below. There are two possible geometries that work to limit reflection: TARC and BARC antireflection coating materials. The name specifies wherein the multilayer stack the ARC is located. Figure 15 shows the arrangement of the silicon substrate, the photoresist, and a TARC.42

Fig. 15

Light path of top coat/antireflective coating and resist film stack.43

JM3_21_1_010901_f015.png

The radiation path is different in the air, the TARC, and the photoresist since each has a different refractive index. By using a low RI TARC (due to its fluorination) and by finding the optimal TARC film thickness reflection can be minimized.4447 Both the phase match and intensity match conditions must be satisfied. This follows Airy’s original 19th-century derivation. If both conditions are met perfectly, the reflection amplitude is zero and all light is coupled into the film. This added ARC layer of lower RI results in a superior pattern with higher resolution.

5.1.

Bottom Antireflective Coatings

Some fluorine-containing acrylate and methacrylate-based copolymers may be used as components in BARC antireflection coating materials (as shown in Fig. 16).

Fig. 16

(a) Fluorinated arylene ethers and (b) acrylate/methacrylate perfluoroalkyl silane-based BARC and TARC materials.

JM3_21_1_010901_f016.png

BARC materials used for 193-nm lithography include copolymers of acrylates/methacrylates/alicyclic units as well as bis(benzocyclobutene) and fluorinated arylene ethers.31 Besides the use of acrylate-based copolymers, it has been reported that perfluoroalkyl silanes (shown in Fig. 13) and poly(ethoxy siloxanes) (not shown) are used as BARC materials. In all these materials the fluorinated component aids in preventing intermixing between the antireflection coating and the photoresist. If mixing were to occur then the performance of the ARC (top or bottom) and the photoresist will be greatly diminished, because the thin photoresist layers will no longer be optically uniform. It should also be noted that fluorine “free” alternative BARCs are known, and they similarly must prevent mixing between ARC layers and photoresists without fluorination. Material suppliers have shown fast etching BARCs for 193-nm lithography. Such materials were targeted for first and second reflectivity minima thickness, are immiscible with photoresists (by being crosslinked), and are not affected by base developers, see Fig. 17.

Fig. 17

Representative BARC material.52

JM3_21_1_010901_f017.png

However, these materials were introduced before the advent of 193-nm immersion lithography. In addition, disposal of hydrophilic ARCs is complicated when ARC and resist disposal cannot be disposed of via the same waste system.

5.2.

Top Antireflective Coatings

Antireflective coatings may also be placed on top of a photoresist stack to reduce optical issues. The comments related to BARCs above about refractive index and miscibility are relevant to TARC materials as well. Issues of wetting and interactions with water arise when 193-nm immersion lithography is used. In this variation of high-resolution lithography, a droplet of water is placed between the photoresist stack surface and the stepper (exposure) lens. As the wafer is patterned, the water film must not wet the wafer surface, or else the patterning process will fail since the rapid movement of the stepper would rapidly lead to the breakdown of the immersion layer. A very hydrophobic, nonwetting surface makes the immersion process work well and prevents leaching of the photoactive component. For immersion lithography, control of substrate reflectivity is critical and for this reason immersion, BARCs are favored over TARC when using this process.

Similar chemical strategies have been used to make fluorinated TARCs and topcoats (below), where fluorinated acrylate- and methacrylate-based copolymers are used, but they are optimized for different property sets.48 Jung et al.49 showed a TARC material based on these components, which are easily developable but possess a relatively low refractive index of 1.55. Furthermore, by increasing the fluorine content of the TARC material, a high dissolution rate and receding contact angles >70  deg could be achieved.

5.3.

Topcoats

Sanders wrote an extensive review of resist systems for 193-nm immersion lithography and discussed the need for topcoats.41 These are materials used as the upper layer in the resist stack that was optimized for the purpose of preventing immersion liquid (water) from leaching photoactive materials from the photoresist during the patterning process and for base development. In that report, he describes several compositions that work well as topcoats. These include perfluoro ethers as well as polymers with hexafluoroisopropanol units and those with short-chain perfluoroalkyl units. All approaches reported function well as barrier materials. In addition to immersion topcoats, which are directly coated on the resist, material suppliers have also developed highly functionalized fluorinated amphiphilic molecular structures, which provide the same properties as a topcoat. The advantage of this approach is that the material, known as an embedded barrier layer (EBL), is formulated directly in the resist, and no separate topcoat coating step is required. Such photoresists are known as topcoat-free resists.50 Such EBL materials may have similar fluorinated components as those found in fluorinated topcoats and fluorinated ARCs, but their application and processing are different.

Other approaches to low RI materials include the incorporation of air pockets using silica nanoparticles. However, this approach did not gain industry acceptance, because it was not possible to implement with the necessary process reliability and reproducibility. In addition, dyed TARCs (limited by the availability of appropriate chromophores) have been developed that reduce the need for fluorination using anomalous dispersion optical effects but do not eliminate the need for fluorinated components for performance reasons discussed above.

Finally, the only molecular unit that comes close to fluorochemicals in low surface energy are silicones, but they have the disadvantage that they have low softening temperatures and are very oxygen plasma etch-resistant. Where ARCs need to be removed using such etch methods, alternative structures with silicones do not provide needed properties.

6.

Fluorinated Surfactants and Surface Leveling Agents

Surfactants in general are “surface-active agents” that consists of a hydrophobic segment and a hydrophilic unit. Surfactants can be used in a variety of coating applications for improving film quality, changing surface interaction,51 and wetting characteristics, and component mixing. The hydrophobic portion of a surfactant can consist of such moieties as hydrocarbon, silicone, or perfluorocarbon segments while the hydrophilic portion of a surfactant can be charged or neutral. Specific performance advantage of fluorinated surfactants is that the surface activity is much higher than equivalent hydrocarbon or silicone surfactants as indicated by the requirement for less surfactant material in a formulation to achieve its critical micelle concentration.

Fluorinated surfactants may be used in several applications in photoresist processing. For example, they can be used to improve photoresist deposition and eliminate defects during photoresist coating. Fluorinated surfactants have been used to improve the development process of an exposed photoresist. They are used to improve the uniformity of an ARC coating process and are especially effective when fluorinated ARCs are involved. Thick film photoresists benefit from surfactants in the formulation to achieve good coating uniformity. Fluorocarbon surfactants are more easily etched than silicone surfactants in oxygen plasma (a desirable quality to reduce layer contamination and increase process yield) and the surface activity of fluorocarbon surfactants makes them readily useable with other ARCs and photoresist materials.

Fluorinated nonionic surfactants have been used in a wide range of lithographic processes due to their very low surface energy, thermal-and mechanical stability, and low refractive index. Nanoimprint lithography (below) is making use of fluorinated surfactants to reduce defects caused by the removal of the template in the patterning process.53,54 Lin et al.55 demonstrated the use of methyl perfluorooctanoate to significantly reduce defects of printed patterns. Another example was shown by Zelsmann et al.56 applying perfluorooctyl-triethoxysilane and perfluoro-octyl-trimethoxysilane. Besides use in nanoimprint lithography, fluorosurfactant-assisted photolithography was demonstrated by Sakanoue et al. using commercial polymeric fluorosurfactants, such as Surflon S-386, S-651 (AGC) and Novec FC-4432 (3M).57 It should be noted that, due to the unique properties of fluorinated surfactants, examples of nonfluorinated surfactants with equivalent characteristics to those of fluorinated surfactants are limited and have been used in few resist formulations.

7.

Nanoimprint Lithography

While nanoimprint lithography is not today a mainstream patterning technology, it has the potential to be introduced soon for specific patterning applications. A mold with nm-scale features is used to imprint polymer or a photopolymerizable monomer mixture to form the pattern in the transparent mold.58,59 In the former case, many polymers have been explored for nanoimprinting but a mold release agent such as a poly(perfluoroether) is usually added to the surface of the mold. In the latter case, fluorochemical units such as those used in BARCs and ARCs including perfluoralkyl segments or hexafluoroisopropanol groups have been used.60 In all cases, removal of the polymer from the mold is an important step in the production of the pattern and for this reason, fluoropolymers are frequently used. It is worth being aware of this approach to high-resolution pattern formation because some early attempts at process development depend on the use of fluorinated photoresists. The fluoropolymer has, in addition to excellent release properties, the advantage that air, which can be trapped in the process, is easily dissolved in the fluoropolymer thereby eliminating trapped bubbles and does not seem to affect pattern formation. Therefore, fluoropolymers are often preferred in this process. This technology area is new enough that little or no reported work has been carried out on the environmental fate of such materials.

Alternate materials for this process include silicones that can be used for their mold release properties.61 This area is attracting strong interest and demonstrates that nonfluorinated materials perform well, but at this time it has not been established if silicone materials are superior in performance. Etch characteristics and wear properties are of course different between fluoropolymers and silicones.

8.

PFOS/PFAS Remediation

As noted above, the strength of the CF bond creates materials with unique and technologically useful properties in semiconductor processing. That same bond strength also results in strong resistance toward physical, chemical, and biological degradation. Due to this strong resistance to degradation, PFAS compounds in general are extremely stable in the environment. In addition, such compounds have been found to be bioaccumulative.62 Extensive literature exists describing the detection of a number of PFAS compounds in drinking water.63 Postuse PFAS waste treatment methods including advanced oxidation processes,64 reductive decomposition processes (aqueous electrons, hydrated electrons, etc.), and incineration have been developed for mitigation purposes.59 Among these methods, advanced oxidation processes do not show high efficacy for PFAS degradation due to the high electronegativity of the fluorine atoms. More work will need to be done to assess the relevance to the kinds of fluorinated materials discussed in this paper.

Recent actions by the EPA include interim recommendations for addressing groundwater contaminated with PFOA and PFOS, published method 533 for detection of PFAS compounds in drinking water, an updated list of 172 PFAS chemicals subject to toxics release inventory reporting, a proposal to regulate PFOA and PFOS in drinking water and significant new use rule for certain PFAS in manufactured products.65 Significant data gaps presently exist in dealing with PFAS and PFOS materials. The EPA is also leading a national effort to understand PFAS and reduce PFAS risks to the public through the implementation of its PFAS action plan and through active engagement and partnership with other government agencies and constituencies.66

9.

Summary

Fluorinated materials play a useful and often essential role in many aspects of semiconductor processing. In our review of the technical literature, we have examined six major applications of fluorochemicals in photolithography and semiconductor processing and identified an emerging technology, nanoimprint lithography, see Table 1. These fluorochemicals are employed as components of PAGs, as components of photoresists, as elements of high-temperature polymers, and as ingredients in ARCs, BARCs, and as topcoats, frequently satisfying the “essential use” criterion. However, there is a strong societal interest in eliminating their use, and “essential use” is a stopgap situation in which replacements are actively sought. The “essential use” concept expects that PFAS uses considered essential today should be continually reviewed for potential removal or replacement by new technologies and be targeted by innovation toward alternatives. The concept does not support long-term and large-scale remediation technologies to justify the ongoing use of PFAS chemicals.

Table 1

Purpose, properties of fluorocompounds for lithographic patterning and semiconductor processing.

Lithographic processing needCritical purpose servedFluorocompound(s) in use/unique properties providedKnown or potential nonfluorine-containing alternativesCurrent viability of alternative
PAGsGeneration of strong acid upon exposure to UV light, when fluorination acid groups. Control of location and distribution of generated acids, especially in high-resolution applicationsFluorinated sulfonium- and iodonium-acid salts/strong electronegativity of F atom—creates superacid material capable of mixing with photoresistAll successfully demonstrated alternatives have fluorinated segments—some down to one CF2 unitNot yet demonstrated in completely fluorine-free materials
Antireflection coatings (top and bottom versions have different requirements)Low refractive index, low surface energy, and good barrier propertiesLargely fluorinated units in acrylate/methacrylate/styrene-based copolymers, very low refractive index, and excellent barrier propertiesFluorine-free alternatives known. But necessary properties not yet broadly demonstrated in 193 immersionARC requirements different in 193- and 193-nm immersion lithography—fluorine-free systems not fully demonstrated
Topcoat (for 193-nm immersion photoresist)Provides barrier layer for 193-nm immersion photoresists applied on top of photoresist and prevents leaching of photoactive components. Protects the photoresist from contact with immersion liquid (water)Largely fluorinated acrylate/methacrylate/styrene-based copolymers, excellent barrier properties with fluorinated componentsLacking satisfactory optionsNot yet demonstrated in fluorine-free materials
EBL (for 193-nm immersion photoresist)Forms a protective surface layer for 193-nm immersion photoresists and prevents leaching of photoactive components. Incorporated as part of photoresist and segregates to film surface during the coating process. Protects the photoresist from contact with immersion liquid (water)Largely acrylate/methacrylate/styrene-based copolymers, excellent barrier properties with fluorinated componentsLacking satisfactory optionsNot yet demonstrated in fluorine-free materials
Polyimides (photopatternable)Required stress buffer coat between chip and package to prevent premature device failure; especially good electrical insulating characteristicsTetracarboxylic acid anhydride derivatives and aromatic diamines/solubility in organic solvents, low dielectric constants, and high thermal and thermooxidative stability; requires negative tone solvent to developNovel polyimides—suitable fluorine-free alternatives have not demonstrated equal performanceNot yet demonstrated in fluorine-free materials
Polybenzoxazoles (photopatternable)Stress buffer coat to prevent premature device failure; high-temperature stability and good insulating characteristicsLow dielectric constants, and high thermal and thermooxidative stability; processed using positive resist developerNovel polybenzoxazoles—suitable fluorine-free alternatives have not demonstrated equal performanceNot yet demonstrated in fluorine-free materials
Nanoimprint Lithography fluoropolymersExcellent release characteristics; low surface energy and fluoromonomers reported to dissolve trapped air making them ideal for filling the micromolds of nanoimprint lithographyFluoropolymers/low surface adherenceSilicone-based release agentsPotentially good but not yet established
Nonionic fluorinated surfactantsImprove coat quality in thin lithographic films (e.g., photoresists and BARCs); compatibility with photoresists and TARC/BARC structures; high efficiency of fluorinated surfactants requires very little additive and enables better performanceNonionic fluorinated segments with water-soluble unitsFor a number of applications, alternatives have not demonstrated equal performanceNot yet demonstrated in fluorine-free materials

Thus, the challenges going forward are to find a means to replace PFAS components that achieve or surpass today’s current performance characteristics in the following current and possible future lithography systems.

  • 1. The use of fluorination in PAGs is to enhance the acidity (make pKa1) of the acid produced in the region of exposure of a photoresist. The formation of acid to induce a solubility change is the critical step in today’s chemically amplified photoresists, the workhorse family of photoresists that enable the production of the vast majority of semiconductors. The presence of a fluorinated unit adjacent to the sulfonic acid gives the acid its ability to efficiently release a proton that reacts with the resist polymer to create a solubility switch. Subsequent development forms a pattern in the photoresist. Today there is no effective alternative to a fluorinated sulfonic acid and this situation applies to chemically amplified photoresists across all wavelengths of lithography from 248 nm to EUV. Efforts to reduce the amount of fluorination in a PAG molecule have been demonstrated, but a survey of the current literature has not shown that complete elimination of fluorination has produced a successful alternative. However, it is very likely that fluorine-free alternatives, which perform equally well and can easily take the place of the fluorinated compounds used today, will be more widely used, and developed in the coming years. Fluorinated polyimides use the presence of a fluorinated unit to improve the dielectric constant of the material and make it a better insulator while retaining excellent thermal stability. This combination of characteristics has not been effectively achieved by alternate means.

  • 2. Other materials like poly(benzoxazole)s also receive an important performance boost from the incorporation of a fluorinated unit.

  • 3. Antireflection coatings (ARC, BARC, and TARC) and other coatings such as topcoats or EBL use fluorinated components to limit the miscibility of this added layer with a photoresist or other organic layer in the semiconductor manufacturing process. As surface layers, they also provide barrier properties and when used as a topcoat act to protect the photoresist from interactions with the immersion fluid (currently water) used in 193-nm lithography. However, while these features can in part be replicated by other systems the necessary combination of properties (immiscibility, surface wetting properties, barrier properties, low refractive index) has not been successfully achieved.

  • 4. Fluorinated surfactants provide a specific performance advantage since their surface energy is much lower than hydrocarbon or silicone surfactants resulting in the need for less surfactant material in formulations. Additionally, properties including very low surface energy, thermal and mechanical stability, and low refractive index provide benefits to coating and etching processes. Fluorocarbon surfactants are more easily etched than silicone surfactants in oxygen plasma (a desirable quality), and the surface activity of fluorocarbon surfactants makes them readily useable with ARCs and photoresist materials.

  • 5. Nanoimprint lithography may become an important technology for some specialized forms of nanopatterning, and there is interest in the use of fluoromaterials in nanoimprint lithography. Current studies have not yet fully demonstrated that fluorine-free alternatives are successful in producing fine-featured patterns in a production capable system.

Appendix

Table 1 summarizes the function of the fluorinated compounds required for the main lithographic processes. In addition, non-fluorinated alternatives and their current feasibility are shown.

Acknowledgments

The authors gratefully acknowledge the Semiconductor PAG Consortium for financial support in the preparation of this manuscript. In particular, we thank Brook Tvermoes (IBM) for very helpful input in the preparation of this manuscript. Each author contributed significantly to the writing of this manuscript. The basis of this manuscript was a report commissioned by the Semiconductor PAG Consortium.

References

1. 

J. Glüge et al., “An overview of the uses of per- and polyfluoroalkyl substances (PFAS),” Environ. Sci.: Process. Impacts, 22 2345 –237 (2020). https://doi.org/10.1039/d0em00291g Google Scholar

2. 

I. T. Cousins et al., “The concept of essential use for determining when uses of PFASs can be phased out,” Environ. Sci.: Process. Impacts, 21 1803 –1815 (2019). https://doi.org/10.1039/c9em00163h Google Scholar

3. 

G. Oster and N. Yang, “Photopolymerization of vinyl monomers,” Chem. Rev., 68 125 –151 (1968). https://doi.org/10.1021/cr60252a001 CHREAY 0009-2665 Google Scholar

4. 

T. A. Engesser et al., “Reactive p-block cations stabilized by weakly coordinating anions,” Chem. Soc. Rev., 45 789 –899 (2016). https://doi.org/10.1039/C5CS00672D CSRVBR 0306-0012 Google Scholar

5. 

S.-Y. Moon and J.-M. Kim, “Chemistry of photolithographic imaging materials based on the chemical amplification concept,” J. Photochem. Photobiol. C, 8 157 –173 (2007). https://doi.org/10.1016/j.jphotochemrev.2007.12.001 1389-5567 Google Scholar

6. 

J. F. Cameron and T. M. Zydowsky, “Photoacid generator and photoresists comprising same,” (2005). Google Scholar

7. 

J. V. Crivello and J. H. W. Lam, “Photoinitiated cationic polymerization with triarylsulfonium salts,” J. Polym. Sci.: Polym. Chem. Ed., 17 977 –999 (1979). https://doi.org/10.1002/pol.1979.170170405 Google Scholar

8. 

S. Tagawa et al., “Radiation and photochemistry of onium salt acid generators in chemically amplified resists,” Proc. SPIE, 3999 204 –213 (2000). https://doi.org/10.1117/12.388304 PSISDG 0277-786X Google Scholar

9. 

E. Despagnet-Ayoub et al., “Triphenylsulfonium topophotochemistry,” Photochem. Photobiol. Sci., 17 27 –34 (2018). https://doi.org/10.1039/C7PP00324B PPSHCB 1474-905X Google Scholar

10. 

H. Xu et al., “EUV photolithography: resist progress in metal–organic complex photoresists,” J. Micro/Nanolithogr. MEMS, MOEMS, 18 011007 (2018). https://doi.org/10.1117/1.JMM.18.1.011007 Google Scholar

11. 

F. M. Houlihan et al., “Nitrobenzyl ester chemistry for polymer processes involving chemical amplification,” Macromolecules, 21 2001 –2006 (1988). https://doi.org/10.1021/ma00185a019 MAMOBX 0024-9297 Google Scholar

12. 

M. Ikbal et al., “Synthesis, photophysical and photochemical properties of photoacid generators based on N-hydroxyanthracene-1,9-dicarboxyimide and their application toward modification of silicon surfaces,” J. Org. Chem., 77 10557 –10567 (2012). https://doi.org/10.1021/jo301367y JOCEAH 0022-3263 Google Scholar

13. 

J.-P. Malval et al., “Photochemistry of naphthalimide photoacid generators,” J. Phys. Chem. A, 112 3879 –3885 (2008). https://doi.org/10.1021/jp0771926 JPCAFH 1089-5639 Google Scholar

14. 

J. Andraos et al., “Model studies on the photochemistry of phenolic sulfonate photoacid generators,” Chem. Mater., 10 1694 –1699 (1998). https://doi.org/10.1021/cm980052b CMATEX 0897-4756 Google Scholar

15. 

M. Terpolilli et al., “Cationic and radical intermediates in the acid photorelease from aryl sulfonates and phosphates,” Photochem. Photobiol. Sci., 10 123 –127 (2011). https://doi.org/10.1039/C0PP00284D PPSHCB 1474-905X Google Scholar

16. 

T. Nakashima et al., “Self-contained photoacid generator triggered by photocyclization of triangle terarylene backbone,” J. Am. Chem. Soc., 137 7023 –7026 (2015). https://doi.org/10.1021/jacs.5b02826 JACSAT 0002-7863 Google Scholar

17. 

R. Ayothi et al., “Arylonium photoacid generators containing environmentally compatible Aryloxyperfluoroalkanesulfonate Groups,” Chem. Mater., 19 1434 –1444 (2007). https://doi.org/10.1021/cm062802k CMATEX 0897-4756 Google Scholar

18. 

M. Glodde, S. Liu and P. R. Varanasi, “Fluorine-free photoacid generators for 193 nm lithography based on non-sulfonate organic superacids,” J. Photopolym. Sci. Technol., 23 173 –184 (2010). https://doi.org/10.2494/photopolymer.23.173 JSTEEW 0914-9244 Google Scholar

19. 

Y. Yi et al., “Sulfonium salts of alicyclic group functionalized semifluorinated alkyl ether sulfonates as photoacid generators,” Chem. Mater., 21 4037 –4046 (2009). https://doi.org/10.1021/cm901366r CMATEX 0897-4756 Google Scholar

20. 

S. Wenjie et al., “Lithography performance and environmental compatibility of PFOS-free photoacid generators,” Green Mater., 5 173 –181 (2017). https://doi.org/10.1680/jgrma.17.00020 Google Scholar

21. 

T. W. Y. Ohsawa, T. Kinsho and K. Kobayashi, “Sulfonate salts and derivatives, photoacid generators, resist compositions, and patterning process,” (2006). Google Scholar

22. 

S. N. T. Ishimaru et al., “Fluorinated sulfonate esters of aryl ketones for non-ionic photoacid generators,” (2018). Google Scholar

23. 

T. Y. T. Masuyama and K. Ichikawa, “Salt, acid generator, resist composition and method for producing resist pattern,” (2020). Google Scholar

24. 

M. O. T. Fujiwara, K. Katayama and K. Yamada, “Iodonium salt, resist composition and pattern forming process,” (2020). Google Scholar

25. 

R. D. Allen et al., “Investigation of polymer-bound PAGs: synthesis, characterization and initial structure/property relationships of anion-bound resists,” J. Photopolym. Sci. Technol., 22 25 –29 (2009). https://doi.org/10.2494/photopolymer.22.25 JSTEEW 0914-9244 Google Scholar

26. 

L. C. P. Cheang and C. Reynaga, “Optimization of photosensitive polyimide process for cost effective packaging,” in Surf. Mount Technol. Semin., 1 –18 (1996). Google Scholar

27. 

G. A. W. Wilson, “Review of polyimides used in the manufacturing of micro systems,” (2007). Google Scholar

28. 

T. Omote et al., “Photoreactive fluorinated polyimide protected by tetrahydropyranyl group (THP) based on photoinduced acidolysis properties and kinetics for acidolysis,” J. Photopolym. Sci. Technol., 5 323 –326 (1992). https://doi.org/10.2494/photopolymer.5.323 JSTEEW 0914-9244 Google Scholar

29. 

M.-H. Chen et al., “Preparation of photosensitive polyimides (PSPIs) and their feasible evaluation for lithographic insulation patterns (LIPs) of integrated circuits (ICs) without negative photoresists,” Mater. Sci. Semicond. Process., 88 132 –138 (2018). https://doi.org/10.1016/j.mssp.2018.08.005 MSSPFQ 1369-8001 Google Scholar

30. 

Y. Inoue, T. Higashihara and M. Ueda, “Alkaline-developable positive-type photosensitive polyimide based on fluorinated Poly(amic acid) and fluorinated diazonaphthoquinone,” J. Photopolym. Sci. Technol., 26 351 –356 (2013). https://doi.org/10.2494/photopolymer.26.351 JSTEEW 0914-9244 Google Scholar

31. 

M. G. Dhara and S. Banerjee, “Fluorinated high-performance polymers: Poly(arylene ether)s and aromatic polyimides containing trifluoromethyl groups,” Prog. Polym. Sci., 35 1022 –1077 (2010). https://doi.org/10.1016/j.progpolymsci.2010.04.003 PRPSB8 0079-6700 Google Scholar

32. 

H. Araki et al., “Low permittivity and dielectric loss polyimide with patternability for high frequency applications,” in Proc. Electron. Compon. Technol. Conf., 635 –640 (2020). Google Scholar

33. 

H. Ahne, E. Kuhn and R. Rubner, “Heat resistant positive resists containing polyoxazoles,” (1982). Google Scholar

34. 

D. N. K. Werner and H. Mueller, “Heat resistant polyamide and polybenzoxazole from bis-((amino-hydroxyphenyl)hexafluoroisopropyl)diphenyl ethers,” (1987). Google Scholar

35. 

H. Ahne, E. Kuhn and R. Rubner, “Radiation-reactive precursor stages of highly heat-resistant polymers,” (1981). Google Scholar

36. 

A. N. Pamela et al., “Photosensitive resin compositions,” (2000). Google Scholar

37. 

H. L. Chen et al., “Low-dielectric constant bisbenzo(cyclobutene) and fluorinated poly(arylene)ether films as bottom anti-reflective coating layers for ArF lithography,” J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.--Process., Meas., Phenom., 19 2381 –2384 (2001). https://doi.org/10.1116/1.1421552 Google Scholar

38. 

B.-T. Liu, W.-D. Yeh and W.-H. Wang, “Preparation of low refractive index fluorinated materials for antireflection coatings,” J. Appl. Polym. Sci., 118 1615 –1619 (2010). https://doi.org/10.1002/app.32539 JAPNAB 1097-4628 Google Scholar

39. 

F. Houlihan et al., “Second-generation radiation sensitive developable bottom anti-reflective coatings (DBARC) and implant resists approaches for 193-nm lithography,” Proc. SPIE, 6519 65190L (2007). https://doi.org/10.1117/12.713436 PSISDG 0277-786X Google Scholar

40. 

Y. Yasushi and T. Akiyama, “Composition for antireflection coating and method for forming pattern,” (2003). Google Scholar

41. 

D. P. Sanders, “Advances in patterning materials for 193 nm immersion lithography,” Chem. Rev., 110 321 –360 (2010). https://doi.org/10.1021/cr900244n CHREAY 0009-2665 Google Scholar

42. 

S.-H. Hsu et al., “Challenges of non-PFOS top antireflective coating material,” Proc. SPIE, 6923 69232M (2008). https://doi.org/10.1117/12.772600 PSISDG 0277-786X Google Scholar

43. 

R. Dammel, (2022) Google Scholar

44. 

W.-S. Huang et al., “New 193-nm top antireflective coatings for superior swing reduction,” Proc. SPIE, 6153 61530S (2006). https://doi.org/10.1117/12.656641 PSISDG 0277-786X Google Scholar

45. 

T. Couteau and M. Carcasi, “Topside anti-reflective coating process and productivity improvements on KrF lithography,” Proc. SPIE, 6153 61533H (2006). https://doi.org/10.1117/12.656321 PSISDG 0277-786X Google Scholar

46. 

D. Sanders et al., “High contact angle fluorosulfonamide-based materials for immersion lithography,” Proc. SPIE, 7639 763925 (2010). https://doi.org/10.1117/12.847257 PSISDG 0277-786X Google Scholar

47. 

M. Khojasteh et al., “Building an immersion topcoat from the ground up: materials perspective,” Proc. SPIE, 6519 651907 (2007). https://doi.org/10.1117/12.712095 PSISDG 0277-786X Google Scholar

48. 

D. P. Sanders, R. Sooriyakumaran, R. A. DiPietro, “Hexafluoroalcohol-functionalized methacrylate monomers for lithographic/nanopatterning materials,” Material Matters, IBM Almaden Research Center, San Jose, California (2011). Google Scholar

49. 

Q. Lin et al., “Resolution enhanced top antireflective coating materials for ArF immersion lithography [6153-74],” Proc. SPIE, 61531Z (2006). Google Scholar

50. 

D. Wang et al., “Novel embedded barrier layer materials for ArF non-topcoat immersion applications,” Proc. SPIE, 7140 71402I (2008). https://doi.org/10.1117/12.805299 PSISDG 0277-786X Google Scholar

51. 

J. Kaitz et al., “High contact angle embedded barrier layer materials for next-generation 193 immersion lithography,” Proc. SPIE, 11612 116120U (2021). https://doi.org/10.1117/12.2583735 PSISDG 0277-786X Google Scholar

52. 

C. Neef et al., “New BARC materials for the 65-nm node in 193-nm lithography,” Proc. SPIE, 5376 684 –688 (2004). https://doi.org/10.1117/12.535423 PSISDG 0277-786X Google Scholar

53. 

T. Ogawa et al., “Reactive fluorinated surfactant for step and flash imprint lithography,” J. Micro/Nanolithogr., MEMS, MOEMS, 12 031114 (2013). https://doi.org/10.1117/1.JMM.12.3.031114 Google Scholar

54. 

T. Ogawa et al., “Reactive fluorinated surfactant for step and flash imprint lithography,” Proc. SPIE, 7970 79700T (2011). https://doi.org/10.1117/12.871627 PSISDG 0277-786X Google Scholar

55. 

M. Lin et al., “Role of surfactants in adhesion reduction for step and flash imprint lithography,” J. Micro/Nanolithogr., MEMS, MOEMS, 7 033005 (2008). https://doi.org/10.1117/1.2968269 Google Scholar

56. 

M. Zelsmann et al., “Degradation and surfactant-aided regeneration of fluorinated anti-sticking mold treatments in UV nanoimprint lithography,” Microelectron. Eng., 87 1029 –1032 (2010). https://doi.org/10.1016/j.mee.2009.11.100 MIENEF 0167-9317 Google Scholar

57. 

T. Sakanoue et al., “Fluorosurfactant-assisted photolithography for patterning of perfluoropolymers and solution- processed organic semiconductors for printed displays,” Appl. Phys. Express, 7 101602 (2014). https://doi.org/10.7567/APEX.7.101602 APEPC4 1882-0778 Google Scholar

58. 

S. Ito et al., “Investigation of fluorinated (Meth)Acrylate monomers and macromonomers suitable for a hydroxy- containing acrylate monomer in UV nanoimprinting,” Langmuir, 30 7127 –7133 (2014). https://doi.org/10.1021/la501629n LANGD5 0743-7463 Google Scholar

59. 

K. Honda et al., “Room-temperature nanoimprint lithography for crystalline poly(fluoroalkyl acrylate) thin films,” Soft Matter, 6 870 –875 (2010). https://doi.org/10.1039/b918316g SMOABF 1744-683X Google Scholar

60. 

M. Zelsmann et al., “Double-anchoring fluorinated molecules for antiadhesion mold treatment in UV nanoimprint lithography,” J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.--Process. Meas., Phenom., 27 2873 –2876 (2009). https://doi.org/10.1116/1.3245993 Google Scholar

61. 

L. J. Guo, “Nanoimprint lithography: methods and material requirements,” Adv. Mater., 19 495 –513 (2007). https://doi.org/10.1002/adma.200600882 ADVMEW 0935-9648 Google Scholar

62. 

K. H. Kucharzyk et al., “Novel treatment technologies for PFAS compounds: a critical review,” J. Environ. Manage., 204 757 –764 (2017). https://doi.org/10.1016/j.jenvman.2017.08.016 Google Scholar

63. 

Z. R. Hopkins et al., “Recently detected drinking water contaminants: GenX and other per- and polyfluoroalkyl ether acids,” J. AWWA, 110 13 –28 (2018). https://doi.org/10.1002/awwa.1073 Google Scholar

64. 

H. F. Schröder and R. J. W. Meesters, “Stability of fluorinated surfactants in advanced oxidation processes— a follow up of degradation products using flow injection– mass spectrometry, liquid chromatography–mass spectrometry and liquid chromatography–multiple stage mass spectrometry,” J. Chromatogr. A, 1082 110 –119 (2005). https://doi.org/10.1016/j.chroma.2005.02.070 JCRAEY 0021-9673 Google Scholar

65. 

A. J. R. Gillespie, “US EPA’s science-based approach to understanding and managing environmental risk from PFAS,” (2020) https://www.epa.gov/sites/production/files/2020-09/documents/epa_pfas_rd_overview_complete_2020_0 9_25.pdf Google Scholar

66. 

A. Hanf, “Research on per- and polyfluoroalkyl substances (PFAS),” (2021) https://www.epa.gov/chemical-research/research-and-polyfluoroalkyl-substances-pfas Google Scholar

Biography

Christopher K. Ober is the Francis Bard professor of materials engineering at Cornell University. He has pioneered new materials for photolithography and studies the biology-materials interface. He received his BSc degree in honors chemistry (Co-op) from the University of Waterloo, Ontario, Canada, in 1978 and his MS and PhD degrees in polymer science and engineering from the University of Massachusetts (Amherst) in 1982. From 1982 to 1986, he was a senior member of the research staff at the Xerox Research Centre of Canada, where he worked on marking materials. He joined Cornell University in the Department of Materials Science and Engineering in 1986. Recently, he served as interim dean of the College of Engineering. Currently, he is a director of the Cornell Nanoscale Facility. From 2014 to 2021, he served on the executive committee (its governing group) of The International Union of Pure and Applied Chemistry (IUPAC). He is a fellow of the ACS (2009), APS (2014), and AAAS (2014). He is a SPIE Senior Member (2018). He received his ACS Award in applied polymer science in 2006, the Gutenberg Research Award in 2009, the Society of Polymer Science Japan (SPSJ) International Prize in 2013, and the Japan Photopolymer Science and Technology Outstanding Achievement Award in 2015.

Florian Käfer received his PhD from the University of Bayreuth, Germany, in 2019. Throughout his PhD thesis he focused on the synthesis of new thermoresponsive polymers and their applications. Since 2018, he is a postdoctoral fellow in the Department of Materials Science and Engineering at Cornell University, supervised by professor Christopher Ober. Thereby, he is focused on the synthesis of polymer-grafted nanoparticles as well as the design and synthesis of sequence-controlled small molecules as future photoresist materials for extreme ultraviolet (EUV) photolithography.

Jingyuan Deng graduated from Nagoya University in 2016 with a BEng in applied chemistry and the University of Tokyo in 2018 with an MEng in chemistry and biotechnology. He is currently pursuing his PhD in materials chemistry under the supervision of professor Christopher Ober at Cornell University. His current research focuses on the development of novel photoresist materials for EUV lithography.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Christopher K. Ober, Florian Kafer, and Jingyuan Deng "Review of essential use of fluorochemicals in lithographic patterning and semiconductor processing," Journal of Micro/Nanopatterning, Materials, and Metrology 21(1), 010901 (31 March 2022). https://doi.org/10.1117/1.JMM.21.1.010901
Received: 21 January 2022; Accepted: 3 March 2022; Published: 31 March 2022
Lens.org Logo
CITATIONS
Cited by 8 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Photoresist materials

Fluorine

Lithography

Optical lithography

Polymers

Semiconductor manufacturing

Refractive index

Back to Top