Presentation + Paper
23 March 2020 Defectivity modulation in EUV resists through advanced filtration technologies
Author Affiliations +
Abstract
The availability of EUV lithography is the mainstream for resolving critical dimension of the advanced technology nodes, currently in the range of 18nm and below [1]. The first insertion of EUVL into manufacturing utilizes chemically amplified resist (CAR) [2]. The filtration of CAR, both at bulk and point-of-use (POU), has already demonstrated in ArF and ArF immersion lithography to play a significant role for microbridges reduction essentially by removing hard particle and gels [3-6]. With respect to ArFi, EUV is bringing new challenges not only for the achievement of the required line roughness, sensitivity and resolution, but also for the need of a substantial reduction of defects such as line collapse, microbridges and broken lines. In this study, it demonstrated the ability of utilizing novel POU filtration to modulate microbridges and achieving superior start-up behavior, both crucial for enabling EUVL at high volume manufacturing. Different POU filters were tested at the imec EUV cluster comprised of TEL CleanTrack LITHIUS Pro-Z and ASML NXE:3400B. The start-up performance, assessed by measuring defects down to 19nm size as a function of the flushing solvent volume, has shown the fast achievement of attaining a stable baseline. Lithography experiments targeting reduction of on-wafer defectivity, carried out with commercially available photoresists, have consistently shown a substantial reduction of after resist development (ADI) and after resist etch (AEI) microbridges on a 16nm L/S test vehicles. The effect of membrane physical intrinsic designs and novel cleaning of POU devices are discussed.
Conference Presentation
© (2020) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Lucia D'Urzo, Toru Umeda, Takehito Mizuno, Atsushi Hattori, Rao Varanasi, Amarnauth Singh, Rajan Beera, Philippe Foubert, Jelle Vandereyken, and Waut Drent "Defectivity modulation in EUV resists through advanced filtration technologies", Proc. SPIE 11326, Advances in Patterning Materials and Processes XXXVII, 113260K (23 March 2020); https://doi.org/10.1117/12.2560144
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Particles

Extreme ultraviolet lithography

Extreme ultraviolet

Inspection

Bridges

Defect inspection

RELATED CONTENT


Back to Top