Open Access
13 December 2022 Special Section Guest Editorial: Non-chemically Amplified Resists for EUV Lithography
Author Affiliations +
Abstract

Special section editors Anuja De Silva and Yasin Ekinci introduce the Special Section on Non-chemically Amplified Resists for EUV Lithography.

This special section presents a series of papers that highlight the development of non-chemically amplified resist platforms for EUV lithography. As the industry marches towards high-NA EUVL insertion to high-volume manufacturing, development of new photoresists that can deliver the tight specifications of resolution, sensitivity, line-edge roughness, and defectivity remains a core challenge. Chemically-amplified resists, which have been the workhorse of the semiconductor industry since 248-nm lithography, are expected to hit fundamental barriers with resolution and stochastic defectivity as features scale below sub 30 nm pitch regime. This has led to a renewed focus on alternate resist platforms and novel approaches that can enable pitch scaling.

The motivation for non-chemically amplified resists (non-CARs) stems from looking at alternate reaction mechanisms that can mitigate acid diffusion/resist blur and hence enhance ultimate resolution. The building blocks of non-CARs also tend to incorporate a significant amount of metals to enhance absorption at EUV (13.5 nm) wavelength. Having a metal-based resist platform has the added benefit of higher etch selectivity to underlying organic and inorganic substrates as the resist thickness scales with the pitch.

The review featured on this special section from Saifullah et al. presents the early learning on metal-based non-CARs used in e-beam lithography. These concepts were revisited for early demonstration of high-resolution patterns using EUV lithography and has gained momentum as a viable alternate path to high-NA EUVL. The work by Lewis et al. showcases the sensitivity enhancement obtained through metal incorporation in a new resist platform. Water developable resists introduced by Wang et al. offer an environmentally friendly solution with potential technical advantages.

All-dry processing is another concept gaining momentum with EUV photoresists. Potential for more homogenous building blocks through films coated through deposition and capillary force free development are being realized with dry-deposited and dry-developed photoresists. The paper from Goldfarb et al. introduces a proof of concept of a new resist family based on a heterometallic compound deposited through sublimation. Hansen et al. discuss the mechanistic learning of a commercial dry photoresist that is targeted for high volume manufacturing. Zhao et al. share a new characterization technique on infrared nanospectroscopy that is used to study the homogeneity of metal oxide resists. As nanoscale composition variability is a key contributor to stochastic defects in EUV, advancement of such characterization will be crucial to resist development. The combination of selective deposition to enhance EUV patterning by Nye et al. explores a unique approach by marrying two main approaches used for feature scaling.

The innovative works presented in this special section offer an exciting look at myriad approaches being developed to enable high-NA EUV lithography. As continuous scientific innovation is needed to address technical challenges, we thank the authors, reviewers, editors, and staff who brought this work to the forefront of the semiconductor community. We hope these articles serve as technical inspiration for the community and potential seeds to new ideas that bring the industry forward.

© 2022 Society of Photo-Optical Instrumentation Engineers (SPIE)
Anuja De Silva and Yasin Ekinci "Special Section Guest Editorial: Non-chemically Amplified Resists for EUV Lithography," Journal of Micro/Nanopatterning, Materials, and Metrology 21(4), 041401 (13 December 2022). https://doi.org/10.1117/1.JMM.21.4.041401
Published: 13 December 2022
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Photoresist developing

Photoresist materials

Extreme ultraviolet

Industry

Electron beam lithography

High volume manufacturing

Back to Top