Paper
26 March 2007 Immersion defectivity study with volume production immersion lithography tool
Katsushi Nakano, Hiroshi Kato, Tomoharu Fujiwara, K. Shiraishi, Yasuhiro Iriuchijima, Soichi Owa, Irfan Malik, Steve Woodman, Prasad Terala, Christine Pelissier, Haiping Zhang
Author Affiliations +
Abstract
ArF immersion lithography has become accepted as the critical layer patterning solution for lithography going forward. Volume production of 55 nm devices using immersion lithography has begun. One of the key issues for the success of volume production immersion lithography is the control of immersion defectivity. Because the defectivity is influenced by the exposure tool, track, materials, and the wafer environment, a broad range of analysis and optimization is needed to minimize defect levels. Defect tests were performed using a dedicated immersion cluster consisting of a volume production immersion exposure tool, Nikon NSR-S609B, having NA of 1.07, and a resist coater-developer, TEL LITHIUS i+. Miniaturization of feature size by immersion lithography requires higher sensitivity defect inspection. In this paper, first we demonstrate the high sensitivity defect measurement using a next generation wafer inspection system, KLA-Tencor 2800 and Surfscan SP2, on both patterned and non-patterned wafers. Long-term defect stability is very important from the viewpoint of device mass production. Secondly, we present long-term defectivity data using a topcoat-less process. For tool and process qualification, a simple monitor method is required. Simple, non-pattern immersion scanned wafer measurement has been proposed elsewhere, but the correlation between such a non-pattern defect and pattern defect must be confirmed. In this paper, using a topcoat process, the correlation between topcoat defects and pattern defects is analyzed using the defect source analysis (DSA) method. In case of accidental tool contamination, a cleaning process should be established. Liquid cleaning is suitable because it can be easily introduced through the immersion nozzle. An in-situ tool cleaning method is introduced. A broad range of optimization of tools, materials, and processes provide convincing evidence that immersion lithography is ready for volume production chip manufacturing.
© (2007) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Katsushi Nakano, Hiroshi Kato, Tomoharu Fujiwara, K. Shiraishi, Yasuhiro Iriuchijima, Soichi Owa, Irfan Malik, Steve Woodman, Prasad Terala, Christine Pelissier, and Haiping Zhang "Immersion defectivity study with volume production immersion lithography tool", Proc. SPIE 6520, Optical Microlithography XX, 652016 (26 March 2007); https://doi.org/10.1117/12.711464
Lens.org Logo
CITATIONS
Cited by 7 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Particles

Immersion lithography

Inspection

Wafer inspection

Bridges

Photoresist processing

Back to Top