Paper
4 May 2005 Quantification of EUV resist outgassing
Wang Yueh, Heidi B. Cao, Vani Thirumala, Hokkin Choi
Author Affiliations +
Abstract
Outgassing from EUV photoresists under EUV irradiation must be minimal in order to prevent contamination of EUV optics. A better understanding of both the level of outgassing of current EUV resists, and the effect of outgassing on EUV optics is needed to set outgassing targets and to assess the risk of resist outgassing in EUV tools. In this paper, we document a technique for quantifying the EUV resist outgassing using both internal and external standards to baseline the GC/MS. In a comparison of internal and external standard, the two techniques give consistent baseline results. Quantification of the outgassing of a number of experimental EUV photoresists shows outgassing levels of 1E+10 - 1E+15 molecules/cm2 at the relevant lithographic dose. Protecting groups and PAG fragments are identified as the main outgassing components. The use of high activation energy resists, and non-ionic PAGs are identified as possible methods to reduce the outgassing levels of EUV photoresists.
© (2005) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Wang Yueh, Heidi B. Cao, Vani Thirumala, and Hokkin Choi "Quantification of EUV resist outgassing", Proc. SPIE 5753, Advances in Resist Technology and Processing XXII, (4 May 2005); https://doi.org/10.1117/12.600540
Lens.org Logo
CITATIONS
Cited by 19 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Extreme ultraviolet

Photoresist materials

Contamination

EUV optics

Nitrogen

Semiconducting wafers

Back to Top