Paper
14 May 2004 Characterization of outgassing for EUV technology
Vani Thirumala, Heidi B. Cao, Wang Yueh, Hokkin Choi, Victoria Golovkina, John Wallace, Paul F. Nealey, Don Thielman, Franco Cerrina
Author Affiliations +
Abstract
Outgassing of photoresists needs to be minimized to avoid contamination of optics. A new challenge for EUV photoresists - that was not encountered for previous lithography technologies - is that exposures will occur in a vacuum environment. In order to design resists that meet the outgassing requirements for EUV lithography, current EUV photoresists need to be tested to determine if there are any performance gaps. In this paper we will describe the outgassing set-up for the outgassing chamber at the University of Wisconsin, and document Intel’s best known method for collecting and analyzing EUV outgassing data. In addition we will present preliminary outgassing results to benchmark the performance of Intel’s outgassing procedure.
© (2004) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Vani Thirumala, Heidi B. Cao, Wang Yueh, Hokkin Choi, Victoria Golovkina, John Wallace, Paul F. Nealey, Don Thielman, and Franco Cerrina "Characterization of outgassing for EUV technology", Proc. SPIE 5376, Advances in Resist Technology and Processing XXI, (14 May 2004); https://doi.org/10.1117/12.536052
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Photoresist materials

Toxic industrial chemicals

Contamination

Extreme ultraviolet

Silicon

EUV optics

Back to Top