Open Access Paper
25 November 2019 Actinic patterned mask defect inspection for EUV lithography
Author Affiliations +
Abstract
As extreme ultraviolet (EUV) lithography enters high volume manufacturing, the semiconductor industry has considered a lithography-wavelength-matched actinic patterned mask inspection (APMI) tool to be a major remaining EUV mask infrastructure gap. Now, an actinic patterned mask inspection system has been developed to fill this gap. Combining experience gained from developing and commercializing the 13.5nm wavelength actinic blank inspection (ABI) system with decades of deep ultraviolet (DUV) patterned mask defect inspection system manufacturing, we have introduced the world’s first high-sensitivity actinic patterned mask inspection and review system, the ACTIS A150 (ACTinic Inspection System). Producing this APMI system required developing and implementing new technologies including a high-intensity EUV source and high-numerical aperture EUV optics. The APMI system achieves extremely high sensitivity to defects because of its high-resolution, low noise imaging. It has demonstrated a capability to detect mask defects having an estimated lithographic impact of 10% CD deviation on the printed wafer.

1.

INTRODUCTION

Actinic patterned mask inspection (APMI) has been discussed for more than 20 years in research on mask defect characteristics, mask defect management and mask qualification control.1 The IC industry believes that final inspections and qualifications of EUV masks requires inspection at the 13.5nm wavelength, the same wavelength used for EUV lithography. Until now, the IC industry has been bridging the gap by stretching the application of existing mask inspection tools and wafer inspection processes. Using reflected light, EUV masks can be inspected with modified DUV mask defect inspection tools originally designed to inspect 193nm immersion masks. However, because of the large difference in wavelength between EUV and DUV, defect management relying on DUV tools is quite difficult since they cannot detect all defects that print in the wafer lithography process. It is challenging to conduct mask defect analysis based on wafer printing tests. High-sensitivity wafer inspection is extremely challenging because it is difficult to find and classify 10% delta-CD defects.2 Inspecting a 13.5nm EUV mask with 193nm DUV is analogous to inspecting a 193nm mask with a mid-infrared wavelength of 2760nm. As such, DUV patterned mask defect inspection systems do not claim to detect defects with printing impact such as phase defects in the EUV multilayer.

One of the merits of using actinic inspection is its high image resolution. Because of the much shorter wavelength, actinic inspection provides high resolution and high contrast images. Many studies on actinic defect inspection show promising results due to high imaging performance in both brightfield and darkfield observations.3,4 Actinic inspection has also been used to examine the relationship between EUV mask defect detection signal strength and the defect’s wafer printing impact.5 In this study, the printability of blank defects on an EUV mask is estimated by means of actinic imaging. It shows that there is a significant relationship between the signal intensity of EUV defects and the CD deviation on wafers, and the results correspond well with simulations. Actinic patterned mask defect inspection is the only method capable of detecting EUV patterned mask multilayer phase defects, which DUV patterned mask defect inspection tools cannot do.6 APMI is expected to provide the ultimate capability for EUV patterned mask inspection by detecting all types of printable defects. The capabilities of the other patterned mask inspection tools, DUV and e-beam inspection systems, have been evaluated.7 According to these evaluations, DUV inspection systems do not provide adequate image contrast for the small pattern pitch of the N5 node with 80nm half-pitch at mask scale. In addition, these evaluations conclude that single-beam e-beam inspection systems would require excessive inspection times to detect the required defect sizes.

EUV masks are inspected at various steps during the mask making process at mask shops and EUV mask quality control at wafer fabs. APMI is applicable to all of them. The first mask inspection is necessary after mask writing to detect hard defects having wafer printing impact. Since APMI has a capability to detect such defects, it is the preferred inspection method. APMI is also applicable to final qualification at mask shops, incoming inspection at wafer fabs and inspection conducted for mask quality control after exposure. The need for APMI is more evident when an EUV pellicle is used, since APMI is necessary for through-pellicle patterned mask inspection to detect particles added during pellicle mount and to monitor the contamination of the mask under the pellicle. Extremely thin (less than 50nm) polycrystalline silicon membranes are currently used as an EUV pellicle.8 These extremely thin silicon membranes do not transmit DUV light, eliminating the possibility of through-pellicle inspection with DUV mask defect inspection tools. However, the silicon membranes are adequately transparent to both 13.5nm EUV and visible light. However, the 380 to 740nm wavelength of visible light is too large to provide good image resolution. The sensitivity of visible light inspection is estimated to be insufficient to detect all of the defects that can print and kill chips. Whether there is a pellicle or not, APMI inspection is the best method to inspect EUV masks because of its ability to detect all types of EUV mask defects with high sensitivity.

2.

TOOL CONFIGURATION

2.1

Development history

The ACTIS A150 is the world’s first actinic EUV patterned mask inspection system, but it draws on expertise accumulated over the years. This high-sensitivity and high-speed actinic patterned mask inspection system is a culmination of experience in the development of 13.5nm EUV actinic blank inspection (ABI) system and widely-adopted DUV patterned mask defect inspection systems as shown in Fig. 1. The actinic inspection technologies used in the ACTIS A150 originate with the proof of concept of the ABI system that started in 2001 with MIRAI-ASET.9 Actinic inspection must be conducted in a vacuum since EUV light gets absorbed in the atmosphere. EUV optics must achieve an extremely low degree of surface error to meet the stringent imaging performance requirements. These challenges were overcome in the process of fabricating two prototypes and a high-volume manufacturing tool of the ABI system.10,11 Patterned mask defect inspection technologies have been developed for DUV masks. The MATRICS X800 series is a patterned mask inspection tool commonly used in wafer fabs to inspect DUV masks. The latest model MATRICS X8ULTRA has the capability to inspect EUV masks by introducing linear polarization and a smaller pixel size. It has the capability to detect 40nm absorber defects in N7 EUV masks.12 In developing the ACTIS 150, we have drawn from both our DUV and EUV mask defect inspection technologies and experiences.

Figure 1.

History of actinic blank and patterned mask defect tools leading to the APMI system.

00002_PSISDG11148_111480W_page_2_1.jpg

2.2

Actinic blank inspection technologies

The base technologies used for APMI originate from the development of actinic blank inspection tools. After 18 years of technology development, ABI tools are now used for EUV mask blank qualification by all EUV blank suppliers. For inspecting EUV mask blanks, the ABI tool has three characteristics. The first characteristic is phase defect detection capability. EUV mask blanks use Mo/Si multilayer stacks as their EUV reflective layer. There is a type of a defect called a phase defect that frequently occurs during the manufacturing of the multilayer stack. If undetected, these phase defects can print and kill all of the chips printed with that part of the mask. These phase defects can only be correctly detected by using the same 13.5nm actinic EUV wavelength as the lithography tool. Inspection tools that use other wavelengths do not have the capability to detect all of the killer phase defects. One reason for the sensitivity limitations of non-actinic tools is the rapid attenuation of non-actinic light in the Mo/Si multilayers. The second ABI characteristic is reasonable throughput under the limited power of the EUV light source. We developed a high efficiency illumination system and used dark field detection for high inspection throughput using limited EUV power. The ABI tool achieves defect inspection with a throughput of 45 minutes per blank. The third ABI characteristic is defect review capabilities. We developed a high magnification defect review mode to monitor defect images using a 10nm pixel size. In addition to dark field review, we installed a bright field review function. These review functions with actinic light are useful for defect analysis. For example, defect images with dark field high magnification are utilized for accurate defect location measurements for defect mitigation. Defect mitigation techniques are used to cover the defects under the absorber layer during mask pattern writing. This technique requires high-resolution imaging to determine the exact defect location on the blank. Another example is defect visualization by actinic light. High magnification actinic review images provide a multitude of useful information such as defect shape and size. All of these exceptional ABI characteristics contributed to the development of the APMI system.

2.3

ABI capabilities and application to APMI

Fig. 2 shows the ABI phase defect inspection sensitivity in 2013. The ABI high volume manufacturing (HVM) prototype tool had a capability to detect programmed phase defects with a 1.0nm height and 33nm width (full width half maximum).13 This programmed phase defect sensitivity result is adequate for multilayer mask blank inspection. However, additional study results have shown that ABI detection capabilities are highly dependent on illumination conditions.14 Defect inspection capabilities with different illumination angles are shown in Fig. 3.15 Low aspect defects are less detectable than high aspect defects with an illumination numerical aperture (NA) of 0.07 as shown in Fig. 3(a). Low aspect phase defects have lower angles of scattered light. The reason for the low detection sensitivity on low aspect ratio phase defects is that inadequate scattered light reaches the detector with the 0.07 NA illumination. As shown in Fig. 3(b), with an illumination NA of 0.1, adequate scattered light reaches the detector and the system will detect all of the printable defects that print with a 10% CD deviation on the wafer. This result verified that the ABI tool could be improved to detect low aspect phase defect by enlarging the illumination NA to 0.1.

Figure 2.

Inspection capability for phase defects. Defects are pit type and widths represent full width half maximum.

00002_PSISDG11148_111480W_page_3_1.jpg

Figure 3.

Detection capability for illumination NA of 0.07 and 0.1. Printable lines represent the limitation of printable defect sizes in 64nm line and space on masks by simulation.

00002_PSISDG11148_111480W_page_3_2.jpg

The ABI HVM prototype tool is not only useful for blank inspection. Actinic review capability is another application for EUV mask development. For further actinic observation capabilities, high magnification review optics were installed. Two additional mirrors and control functions were installed in the imaging optics. One switching mirror was installed in the illumination optics for bright field defect review. The ABI tool supplies both low magnification (26x) and high magnification (1200x) imaging with both dark field and bright field imaging. Fig. 4 shows both dark field and bright field review images for 100nm half-pitch line-and-space patterns.16 It is difficult to identify the defect type (intrusion or protrusion) using dark field optics because dark field optics collect signals only from the pattern edges. On the other hand, intrusion and protrusion defects in the mask pattern can be classified by using high magnification bright field review because it provides reflectivity information. Therefore, high magnification bright field review provides useful information for lithographic impact prediction.

Figure 4.

Pattern defect review with bright field and dark field. Upper pictures are an absorber protrusion edge defect, middle pictures are an absorber intrusion defect and the lower pictures are an absorber pattern edge.

00002_PSISDG11148_111480W_page_4_1.jpg

The ABI tool was used for initial studies of patterned mask defect inspection. Bright field observations were used for an optics requirement study. In this study, pattern visibility with the 0.085 NA ABI optics did not have enough resolution for patterned mask defect inspection. Therefore, we used simulation to estimate the optical requirements for APMI. Fig. 5 shows the results of simulations with diffraction effects but without taking optics fabrication errors and alignment errors into consideration. The linewidths varied from 40nm to 80nm. We concluded that even a theoretically perfect 0.085 NA lens would not have enough resolution for APMI defect review. Simulations showed that a larger imaging NA of 0.28 would produce EUV mask pattern images with significantly higher contrast. Therefore, we concluded that this higher NA would be effective in achieving the higher resolution required for EUV patterned mask defect inspection.15

Figure 5.

Imaging comparison between ABI BF and APMI. Line width: from 40nm to 80nm on mask.

00002_PSISDG11148_111480W_page_4_2.jpg

We conducted other simulations taking fabrication errors and noise effects into account. 80nm mask contact hole patterns were used in these simulations. 17nm absorber edge protrusion defects and 24nm absorber intrusion defects were located respectively at the center right of these contacts as shown in Fig. 6. Die to die comparison images have enough signal to noise ratio for detecting these defects.17 Calculations of defect printability showed that both the 17nm absorber edge protrusion defect and the 24nm absorber edge intrusion defect produced a 10% CD deviation on the wafer. Therefore, we predicted that the APMI system would have the capability to detect mask defects that cause a 10% wafer CD deviation.

Figure 6.

APMI defect observation capability in 80nm contact hole. Upper: 17nm absorber edge protrusion defect at center right. Lower: 24nm absorber edge intrusion defect at center right.

00002_PSISDG11148_111480W_page_4_3.jpg

2.4

Tool configuration

Fig. 7 shows some of the major components of the ACTIS A150 system. These components and key technologies associated with them had to be developed for stable inspection performance. Unlike wafer exposure tools, actinic inspection requires an EUV light source that provides a small beam spot and high-brightness illumination. This is because EUV light needs to illuminate a small area on the mask to enable defect review with high-magnification imaging optics. For ACTIS, a tin-based EUV light source has been chosen because of its high conversion efficiency to generate high-brightness EUV light.

Figure 7.

Components of APMI tool.

00002_PSISDG11148_111480W_page_5_1.jpg

The development of illumination and imaging optics for actinic inspection was one of the most challenging tasks. New reflective EUV optics consisting of Mo/Si multilayer-coated mirrors and grazing-incidence mirrors were designed, developed and fabricated. To attain good imaging performance, the EUV mirrors must be fabricated with extremely small degrees of surface figure error and surface roughness. As explained in the previous section, the imaging optics needed a higher NA.

Additionally, the cleanliness of the mask-handling system must be high to meet the requirement of usage in a vacuum. Particle characteristics in a vacuum are different from those in the atmosphere. In the atmosphere, particles can be reduced by a combination of particle filters and airflow control. However, in a vacuum, where a very small amount of gas molecules exists, particles have long mean free-paths. We have constructed a system that keeps particle generation to a minimum and developed pumping and venting procedures to limit particle transports.

The EUV detectors are designed to operate at high speed and have a high level of sensitivity at 13.5nm wavelength. They are vacuum compatible. The stage control system is also vacuum-compatible and achieves the level of location accuracy that meets the requirements of imaging performance.

3.

INSPECTION PERFORMANCE

3.1

Image resolution of A150 – line and space

The ACTIS A150 system achieves significantly higher image resolution than DUV-based mask defect inspection systems. The resolution is high enough to enable state-of-the-art EUV mask pattern recognition and defect detection. Fig. 8 shows test images captured by ACTIS A150. The EUV mask patterns used in this test have half-pitch sizes from 120nm down to 35nm. The images have been processed to reduce background noise. Patterns below 120nm half-pitch were used to test the APMI system and confirm its image quality and resolution capability. A typical example of an EUV mask pattern is a line-and-space pattern with a half-pitch of 60 to 80nm. The image resolution of the ACTIS A150 system is sufficient to make this pattern clearly visible, as seen in the enlarged images for half-pitch 60nm shown in Fig. 9. The pattern size will become smaller when high-NA scanners are introduced for EUV lithography. In the future, mask patterns with a half-pitch of 35nm or so will be used. The image of half-pitch 35nm patterns is shown at the same magnification scale in Fig. 8 and an enlarged image is shown in Fig. 9. Even though the pattern contrast for half-pitch 35nm lines and spaces is lower than that of half-pitch 60nm lines and spaces, the ACTIS A150 system has more than adequate resolution to inspect these patterns. The resolution of the APMI system is adequate to inspect the pattern sizes that are expected to be used when high-NA EUV scanners are introduced.

Figure 8.

Images of line-and-space patterns.

00002_PSISDG11148_111480W_page_6_1.jpg

Figure 9.

Enlarged images of half-pitch 35nm and 60nm line-and-space pattern. The left image is half-pitch 35nm line and space on mask. The right is half-pitch 60nm line and space.

00002_PSISDG11148_111480W_page_6_2.jpg

3.2

Inspection performance of ACTIS A150

The IC industry targets the detection of mask defects that print on a wafer and cause a 10% or larger change in CDs. Defects that cause slightly less than a 10% delta CD by simulation and are detected by an ACTIS A150 inspection are shown in Fig. 10. The left image is an absorber intrusion defect and the right image is an absorber protrusion defect. The contact hole size on the mask is 80nm x 80nm for both patterns. Both defects are less than 20nm on the mask. For the contact hole example shown, simulations shown in Fig. 11 indicate that intrusion and protrusion defects have different degrees of printing impact. Absorber protrusion defects cause a larger impact than absorber intrusion defects. To show defects with a similar lithographic impact, we have chosen to display defects where an absorber edge protrusion defect is smaller than an intrusion defect. In this case, the signal intensity of the contact hole at the center is more than 25% larger (intrusion) or smaller (protrusion) than that of the other contact holes. In both images, one can observe that the top edge of the contact hole at the center has a different shape compared with the rest. These results show that the ACTIS A150 system has the capability to image and detect sub-20nm mask defects that will cause less than a 10% wafer CD deviation from an 80nm mask contact hole.

Figure 10.

Defect review images of ACTIS A150. The left image contains an absorber intrusion, and the right image contains an absorber protrusion. Both absorber defects are located at the center of the top of the contact. The size of both defects is smaller than 20nm, and their lithographic impact is estimated to be less than a 10% CD deviation. The relative intensity levels are illustrated below the images.

00002_PSISDG11148_111480W_page_6_3.jpg

Figure 11.

Relation between defect size on mask and CD deviation on wafer. The left graph is simulation for absorber intrusion defect; the right graph is for absorber protrusion defect. Simulation conditions for scanner are projection optics NA 0.33, annular illumination with sigma range between 0.2 to 0.9 and CH pattern size 80nm.

00002_PSISDG11148_111480W_page_7_1.jpg

3.3

Image comparison between DUV-based system and actinic inspection system

Fig. 12 is a comparison of images captured by MATRICS, a Lasertec DUV inspection system, and corresponding images from the EUV actinic ACTIS A150. The phase defect of 200nm in width and 2nm in height shown in the first row makes a relatively large impact in lithography. The EUV tool makes it clearly visible whereas the DUV tool does not. The capability to detect phase defects is one of the reasons for using an actinic tool for EUV mask qualification.

Figure 12.

Image comparison between DUV inspection tool and EUV inspection tool. The first-row images contain a phase defect (200nm x 2nm) and the second-row images contain an edge defect (32nm) in a line-and-spacepattern of half-pitch 75nm on the mask.

00002_PSISDG11148_111480W_page_7_2.jpg

The images in the second row show a 32nm CD edge defect in 75nm half-pitch lines and spaces on a mask. The difference of resolution between the two images is readily apparent here. The actinic tool clearly visualizes the CD change deviation in the line-space pattern. On the other hand, the DUV tool neither shows the line-space patterns nor the impact of the defect. The EUV inspection system provides clear and well resolved images to enable the detection of printable defects. Only an EUV actinic inspection system can visualize small surface topology and phase changes that propagate through multilayer stacks.

3.4

Full mask inspection

The capability of the ACTIS A150 system has been tested with multiple full-field masks of various types of device patterns and has been confirmed to be ready for full mask inspection. In full mask inspection, the EUV mask inspection tool detected more defects than conventional DUV inspection tools. It has also been shown that the system can detect not only simple EUV mask defects in patterns such as lines and spaces or contact holes but also more complex defects such as partially-blocked via and missing OPC. The ACTIS A150 provides high resolution images captured by using actinic light and is therefore capable of providing the shape and other properties of the defects it detects. We have confirmed APMI’s capability to provide high resolution and high contrast images with EUV illumination.

4.

CONCLUSION

An actinic patterned mask inspection system has been developed to fill a major gap in the EUV mask infrastructure. This is a significant step that facilitates technology advancements in EUV mask fabrication. The APMI system achieves high sensitivity to defects because of its high-resolution imaging capability. It has demonstrated a capability to detect mask defects having an estimated lithographic impact of 10% CD deviation on the wafer. APMI is an ideal method to detect any types of printable defects with EUV lithographic impact. The resolution of the APMI system matches the pattern size expected to be used when high-NA scanners are introduced. Therefore, the current platform is expected to be extended to high-NA EUV.

REFERENCES

[1] 

Edita Tejnil and Alan R. Stivers, “Options for at-wavelength inspection of patterned extreme ultraviolet lithography masks,” in Proc. SPIE, (1999). https://doi.org/10.1117/12.373373 Google Scholar

[2] 

Dieter Van den Heuvel, Rik Jonckheere, Bart Baudemprez, Shaunee Cheng, Gino Marcuccilli, Andrew Cross, Gregg Inderhees, and Paolo Parisi, “Investigation of the performance of state-of-the-art defect inspection tools within EUV lithography,” in Proc. SPIE, 83240L (2012). https://doi.org/10.1117/12.916979 Google Scholar

[3] 

Hidehiro Watanabe, “Blank inspection technology development,” (2016). Google Scholar

[4] 

Tetsuo Harada, Hiraku Hashimoto, Yusuke Tanaka, Tsuyoshi Amano, Takeo Watanabe, and Hiroo Kinoshita, “Quantitative phase imaging of a small phase structure on an extreme-ultraviolet mask by coherent diffraction imaging,” Appl. Phys. Express, 8 055202 (2015). https://doi.org/10.7567/APEX.8.055202 Google Scholar

[5] 

Rik Jonckheere, Takeshi Yamane, Yasutaka Morikawa, and Takashi Kamo, “Blank defect coverage budget for 16nm half-pitch single EUV exposure,” in Proc. SPIE, 108070H (2018). Google Scholar

[6] 

Hiroki Miyai, Tomohiro Suzuki, Kiwamu Takehisa, Haruhiko Kusunose, and Hidehiro Watanabe, “Defect inspection and characterization on actinic blank inspection tool,” EUVL Symposium, (2014) http://euvlsymposium.lbl.gov/proceedings/2014 Google Scholar

[7] 

Ted Liang, John Magana, Kishore Chakravorty, Eric Panning, and Guojing Zhang, “EUV mask infrastructure readiness and gaps for TD and HVM,” in Proc. SPIE, 963509 (2015). https://doi.org/10.1117/12.2202724 Google Scholar

[8] 

Derk Brouns, Par Broman, Jan-Willem van der Horst, Raymond Lafarre, Raymond Maas, Theo Modderman, Roel Notermans, and Guido Salmaso, “ASML NXE pellicle update,” in Proc. SPIE, 1117806 (2019). Google Scholar

[9] 

Toshihisa Tomie, Tsuneo Terasawa, Yoshihiro Tezuka, and Masaaki Ito, “Concept of ultra-fast at-wavelength inspection of defects on a multilayer mask using a laser-produced plasma source,” in Proc. SPIE, (2003). https://doi.org/10.1117/12.482811 Google Scholar

[10] 

Tsuneo Terasawa, Takeshi Yamane, Toshihiko Tanaka, Teruo Iwasaki, Osamu Suga, and Toshihisa Tomie, “Development of actinic full-field EUV mask blank inspection tool at MIRAI-Selete,” in Proc. SPIE, 727122 (2009). https://doi.org/10.1117/12.813602 Google Scholar

[11] 

Hiroki Miyai, Tomohiro Suzuki, Kiwamu Takehisa, Haruhiko Kusunose, Takeshi Yamane, Tsuneo Terasawa, Hidehiro Watanabe, and Ichiro Mori, “The capability of high magnification review function for EUV actinic blank inspection tool,” in Proc. SPIE, 870118 (2013). https://doi.org/10.1117/12.2030712 Google Scholar

[12] 

Tetsuya Sendoda, Kiwamu Takehisa, Koichi Moriizumi, Haruhiko Kusunose, Y.C. Wang, and B.J. Cheng, “EUV mask inspection tool for high volume manufacturing,” Presented at SPIE Advanced Lithography, (2018). Google Scholar

[13] 

Anna Tchikoulaeva, Hiroki Miyai, Tomohiro Suzuki, Kiwamu Takehisa, Haruhiko Kusunose, Takeshi Yamane, Tsuneo Terasawa, Hidehiro Watanabe, Soichi Inoue, and Ichiro Mori, “EUV actinic blank inspection: from prototype to production,” in Proc. SPIE, 86790I (2013). https://doi.org/10.1117/12.2011776 Google Scholar

[14] 

Tomohiro Suzuki, Hiroki Miyai, Kiwamu Takehisa, Haruhiko Kusunose, Hidehiro Watanabe, and Ichiro Mori, “Detection capability of actinic blank inspection tool,” in Proc. SPIE, 96580O (2015). https://doi.org/10.1117/12.2197309 Google Scholar

[15] 

Takeshi Yamane, Tsuyoshi Amano, Noriaki Takagi, Hidehiro Watanabe, Ichro Mori, Tomohisa Ino, Tomohiro Suzuki, Kiwamu Takehisa, Hiroki Miyai, and Haruhiko Kusunose, “Advances in the detection capability on actinic blank inspection,” in Proc. SPIE, 97761G (2016). Google Scholar

[16] 

Hiroki Miyai and Hidehiro Watanabe, “Defect review capability on actinic blank inspection tool,” EUVL Symposium, (2015) http://euvlsymposium.lbl.gov/proceedings/2015 Google Scholar

[17] 

Hiroki Miyai, “Progress on actinic blank inspection tool and application for EUV mask observation,” Google Scholar
© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Hiroki Miyai, Tsunehito Kohyama, Tomohiro Suzuki, Kiwamu Takehisa, and Haruhiko Kusunose "Actinic patterned mask defect inspection for EUV lithography", Proc. SPIE 11148, Photomask Technology 2019, 111480W (25 November 2019); https://doi.org/10.1117/12.2538001
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication and 2 patents.
Advertisement
Advertisement
KEYWORDS
Photomasks

Inspection

Extreme ultraviolet

Defect inspection

Deep ultraviolet

Defect detection

Semiconducting wafers

Back to Top