The chemically-amplified resists have been exposed by hyper-NA 193nm immersion and EUV lithography. Patterns
with 45nm half-pitch and below are investigated for process windows and line-edge roughness. Although the 193nm
immersion and EUV lithography have totally different optics, an overlap of the resolution capability is clearly observed
around 45nm half-pitches. Both lithographic processes show comparable process windows for 45nm dense lines. The
193i resist better responds to its aerial image than that of the EUV resist. Although the EUV tool has the resolution
capability down to 20nm half-pitch, immature resist process limits the current resolution to 35nm half-pitch.
Several masks have been fabricated and exposed with the small-field Micro Exposure Tool (MET) at the Advanced Light Source (ALS) synchrotron in Berkeley using EUV radiation at 13.5 nm wavelength. Investigated mask types include two different absorber masks with TaN absorber as well as an etched multilayer mask. The resulting printing performance under different illumination conditions were studied by process window analysis on wafer level. Features with resolution of 60 nm and below were resolved with all masks. The TaN absorber masks with different stack thicknesses showed a similar size of process window. The differences in process windows for line patterns were analyzed for 60 nm patterns. The implications on the choice of optimum mask architecture are discussed.
Corresponding to the ITRS roadmap, EUV Lithography will in the not-too-far future reach the point, where critical resist dimensions are in the same order of magnitude as polymer chains and acid diffusion lengths, while photon energies will largely exceed the binding energies of all organic molecules. Especially in EUV, where secondary electron side reactions may lead to a higher outgassing of polymer fragments than in 157nm and 193nm lithography, outgassing is agreed to be a critical issue for resist development. In this paper EUV, 193nm and 157nm outgassing is characterized using an online mass spectrometer attached to several different outgassing setups (i.e. synchrotron, laser). The total outgassing and the time dependent outgassing of resist fragments has been characterized for a number of resist polymer platforms. The results are compared and discussed in terms of the applied photon energies and differences in EUV, 157nm and 193nm exposures. Time dependent scanning of selected mass channels was used to differentiate if an outgassing fragment had its origin from the photoacid generator (PAG) or from a photolytic or a photochemical reaction of the polymer matrix. For EUV, correlations are given between resist outgassing and high dose crosslinking and scissioning behaviour of EUV resists.
Three different architectures were compared as candidates for EUV lithography masks. Binary masks were fabricated using two different stacks of absorber materials and using a selective etching process to directly pattern the multilayer of the mask blank. To compare the effects of mask architecture on resist patterning, all three masks were used to print features into photoresist on the EUV micro-exposure tool (MET) at Lawrence Berkeley National Laboratory. Process windows, depth of focus, mask contrast at EUV, and horizontal and vertical line width bias were use as metrics to compare mask architecture. From printing experiments, a mask architecture using a tantalum nitride absorber stack exhibited the greatest depth of focus and process window of the three masks. Experimental results obtained using prototype masks are discussed in relation to simulations. After accounting for CD biasing on the masks, similar performance was found for all three mask architectures.
If EUV lithography is to be inserted at the 65-nm node of the 2001 International Technology Roadmap for Semiconductors, beta-tool resists must be ready in 2004. These resists should print 35-65 nm lines on a 130-nm pitch with LER below 4 nm 3s. For throughput considerations, the sizing dose should be below 4 mJ/cm2. The VNL and EUV LLC resist development program has measured the resolution, LER, and sizing dose of approximately 60 ESCAP photoresists with the 10X exposure tools at Sandia National Laboratories. The NA of these tools is 0.088, and every resist measured would support the beta-tool resolution requirement if the resolution scales with NA as predicted by optics. 50-nm dense lines have been printed with monopole off-axis illumination, but 35-nm resolution on a 130-nm pitch remains to be demonstrated. Only one photoresist met the LER specification, but its sizing dose of 22 mJ/cm2 is over five times too large. The power spectral density of the roughness of every resist has a Lorentzian line shape, and most of the roughness comes from frequencies within the resolution of the exposure tools. This suggests a strong contribution from mask and optics, but more work needs to be done to determine the source of the roughness. Many resists have sizing doses below the 4 mJ/cm2 target, and neither resolution nor LER degrades with decreasing sizing dose, suggesting that shot noise is not yet affecting the results. The best overall resist resolved 80-nm dense lines with 5.3 nm 3s LER on 100-nm dense lines at a sizing dose of 3.2 mJ/cm2. Thus, it comes close to, but does not quite meet, the beta-tool resist targets.
193 nm photoresists on the market today can be classified into three different chemical platforms. The first platform involves acrylate type polymers, the second one cycloolefin- maleic anhydride (COMA) type polymers, and the third one a mixture of both. In this paper, we present a complete review of the lithographic performances at the 130 nm node, for 10 different commercially photoresists, coming from the three different chemical platforms. The results include various criteria: linear resolution, depth of focus, dose latitude, proximity bias and edge roughness for 130 nm lines (various pitches from isolated to 1:1 dense), depth of focus for 100 nm isolated lines, depth of focus and dose latitude for 140 nm contact holes, PEB temperature sensitivity (CD variation vs PEB temperature), thermal stability (post development bake stability), exposure -- PEB delay stability. Also, pattern collapse tendency and etch selectivity to both polysilicon and SiO2 are presented. We then correlate some of these results to the thermal properties (glass transition and decomposition temperatures) of the materials. We finally conclude about the pros and cons of each chemical platform for achieving the 130 nm node requirements.
The pattern collapse behavior of a set of 193 nm resists in high aspect ratios was quantified. For all the resists investigated a general behavior could be observed: the collapse did not only depend on aspect ratio but also on pitch. With higher aspect ratio/pitch (normalized aspect ratio: NAR) all the resists go in a sigmoidal step from no collapse to total collapse. Surfactants in the developer did not have a consistent effect on pattern collapse. Resists of different polymer structure showed a very different tendency to collapse: acrylic resists collapse earlier than cyclo- olefinic resists. It could be deduced that pattern collapse will be a significant problem starting at the 130 nm node, if the film thickness range of the SIA roadmap are maintained. Comparison with data obtained for DUV resists showed that pattern collapse might limit the application of DUV resists in NGL. The modeling work at the University of Wisconsin shows the big impact of thermo-mechanical thin film properties on the pattern collapse problem.
Lithography at 193nm is the first optical lithography technique that will be introduced for manufacturing of technology levels. where the required dimensions are smaller than the actual wavelength. This paper explores several techniques to extend 193nm to low k1 lithography. Most attention is given to binary mask solution in at 130nm dimensions, where k1 is 0.4. Various strong and Gaussian quadrupole illuminators were designed, manufactured and tested for this application. Strong quadrupoles show that largest DOF improvements. The drawback however, is that these strong quadrupoles are very duty cycle and dimensions specific, resulting in large proximity biases between different duty cycles. Due to their design, Gaussian quadrupoles sample much wider frequency ranges, resulting in less duty cycles specific DOF improvements and less proximity basis. At sub-130nm dimensions, strong phase shift masks provide significant latitude improvements, when compared to binary masks with quadrupole illumination. However, differences in dose to size for different duty cycles were up to 25 percent. For definition of contact holes, linewidth biasing through silylation, a key feature of the CARL bi-layer resist approach, demonstrated significant DOF latitude improvements compared to SLR at 140nm and 160nm contact holes.
Photolithography has now moved forward to such an extent that we are considering imaging the 130nm and 100nm technology nodes with optical system, unthinkable a handful of years ago. To do this we have been using the biggest control knob we know, wavelength. The latest wavelength to be introduced into production will be 193nm which will arrive with full field scanners this year. To image using 193nm we have had to radically change the polymer systems we use due to high absorption with conventional chemistries. This has led to materials that will be the most difficult to integrate into manufacturing that we have ever faced. The primary challenge we encounter is the high resist thickness loss in the pattern transfer steps. This is primarily due to low etch resistance of the materials in use but we will also show that photochemical deprotection of the resist during etch has a contribution. One approach to overcome this is to use significantly thicker resist films, this leads to its own problems with pattern collapse a major worry which could easily become a limiting factor in this technique.
Dry developable bilayer resist systems offer high resolution capability and wide focus windows due to the thin imaging photoresist layer that is applied on top of a thick light- absorbing and planarizing bottom resist. Since 1995, Siemens uses the CARL bilayer resist process as a commercial available i-line version in its high-volume DRAM and logic IC production for patterning of half-micron features over severe topography. For application of this process in high resolution lithography, the chemical biasing of photoresist structures, achieved by a separate silylation step, can be used for a dramatic increase in focus latitudes at k1 <EQ 0.5, even with standard illumination and COG masks. In our paper we will discuss this effect and focus on first results with a further developed CARL resist system for application in 248 nm and 193 nm lithography, respectively. With such a dual-wavelength bilayer resist, early process development and optimization is possible for the 193 nm technology by using the already implemented 248 nm exposure tools. Consequently rapid and easy access to sub-150 nm structure dimensions is given by simply switching the exposure wavelength from 248 to 193 nm at a time when the 193 nm exposure tools are mature for production.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.