The application of Double Exposure Lithography (DEL) would enlarge the capability of 248 nm exposure technique to
smaller pitch. We will use the DEL for the integration of critical layers for dedicated applications requiring resolution
enhancement into 0.13 μm BiCMOS technology. In this paper we present the overlay precision and the focus difference
of 1st and 2nd exposure as critical parameters of the DEL for k1 ≤ 0.3 lithography (100 nm half pitch) with binary masks
(BIM). The realization of excellent overlay (OVL) accuracy is a main key of double exposure and double patterning
techniques. We show the DEL requires primarily a good mask registration, when the wafer stays in the scanner for both
exposures without alignment between 1st and 2nd exposure. The exposure tool overlay error is more a practical limit for
double patterning lithography (DPL). Hence we prefer the DEL for the resolution enhancement, especially if we use the
KrF high NA lithography tool for 130 nm generation.
Experimental and simulated results show that the critical dimension uniformity (CDU) depends strongly on the overlay
precision. The DEL results show CDU is not only affected by the OVL but also by an optical proximity effect of 1st and
2nd exposure and the mask registration.
The CD uniformity of DEL demands a low focus difference between 1st and 2nd exposure and therefore requires a good
focus repeatability of the exposure tool. The Depth of Focus (DOF) of 490 nm at stable CD of lines was achieved for
DEL. If we change the focus of one of the exposures the CD-focus performance of spaces was reduced with
simultaneous line position changing. CDU vs. focus difference between 1st and 2nd exposure demands a focus
repeatability <100 nm for the exposure tool.
Summary, the results show DEL has the potential to be a practical lithography enhancement method for device
fabrication using high NA KrF tool generation.
In this paper we investigate the process margin for the 100nm half - pitch double exposure KrF lithography using binary
masks for different illumination settings.
The application of Double Exposure Lithography (DEL) would enlarge the capability of 248 nm exposure technique to
smaller pitch e.g. for the integration of dedicated layers into 0.13 μm BiCMOS with critical dimension (CD)
requirements exceeding the standard 248 nm lithography specification. The DEL was carried out with a KrF Scanner
(Nikon S207D, NALens = 0.82) for a critical dimension (CD) of 100nm half pitch. The chemical amplified positive resists
SL4800 or UV2000 (Rohm & Haas) with a thickness of 325nm were coated on a 70 nm AR10L (Rohm & Haas) bottom
anti-reflective coating (BARC). With a single exposure and using binary masks it is not possible to resolve 100nm lines
with a pitch of 200 nm, due to the refraction and the resolution limit.
First we investigated the effect of focus variation. It is shown that the focus difference of 1st and 2nd exposure is one
critical parameter of the DEL. This requires a good focus repeatability of the scanner. The depth of focus (DOF) of
360 nm with the coherence parameter σ = 0.4 was achieved for DEL with SL4800 resist. The influence of the better
resist resolution of UV2000 on the process window will be shown (DOF = 460 nm). If we change the focus of one of the
exposures the CD and DOF performance of spaces is reduced with simultaneous line position changing.
Second we investigated the effect of different illumination shapes and settings. The results for conventional illumination
with different values for σ and annular illumination with σinner = 0.57 and σouter = 0.85 will be shown.
In summary, the results show that DEL has the potential to be a practical lithography enhancement method for device
fabrication using high NA KrF tool generation.
A layer specific aberration control and optimization method is introduced with some field examples. For the first time lens tuning to application specific and product type mask features will be demonstrated. The adjusted lens set-up can be selected within the scanners process program, i.e. the exposure recipe, thus facilitating utmost flexibility. The application is using Nikon's TAO (Technology for Aberration Optimization) software method for specific pattern. Simulated imaging performance data using the Zernike sensitivity method is used as input. The optimization result is used for direct scanner lens element position change to reach a pre-calculated, well defined new wavefront signature. The new lens element position can be directly applied to the layer specific illumination setting via process program of the scanner tool. Specific imaging performance tolerances can be set. Several imaging parameters can be observed separately and various tolerances can be applied during software run, to reach optimized performance. In this paper two product type patterns, like lines and spaces and a dense hole array, and the way of optimization for a high NA 193nm scanner, like minimizing aberration offsets, will be shown. Special attention to the application at already very low aberration levels of a scanner lens is highlighted. Here the method shows the ability of further reduction of aberration specific CD effects in the field. Optimization targets, results, metrology interactions and possible limitations are discussed.
Historically the primary methods used to achieve the industries ever-tightening resolution requirements were reduction of exposure wavelength and increased projection lens NA. Today however, photo engineers are pushing optical lithography well beyond the realm of what was once considered practical. Specific scanner exposure tool features have to be implemented to achieve the aggressive imaging objectives. One such example is to use focus drilling to expand the depth of focus for contact layers. This paper describes the implementation of focus drilling through the Continuous DOF expansion Procedure (CDP). In CDP, the wafer is tilted along the scanning direction, while the wafer stage continuously moves upward or downward during exposure. CDP technology provides an enhanced process window with initial data showing a 30% improvement in DOF for 250-nm contact holes. It also eliminates the need for double exposures and therefore maintains high throughput, comparable to standard wafer exposure.
We have investigated the impact of light polarization on the imaging performance of a high NA 193nm wafer scanner. This system allows the usage of well linear polarized light for imaging at several illumination modes. The printing performance of critical DRAM features have been investigated for various mask types like attenuated, chrome-less and alternating PSM using polarized and depolarized light. Moreover various illumination schemes such as circular, cross-pole and dipole illumination have been tested for different light polarization settings. An improvement of the resolution and the process window, and a reduction of the mask error enhancement factor compared to depolarized light have been obtained using appropriately chosen linear polarization. The influence of light polarization on the proximity behavior has been studied. Under investigation was specifically the proximity behavior change for mask features with attached sub-resolution assist features. Experimental data of the influence of the polarization on the intra-field CD uniformity of densely packed features of critical DRAM layers are presented. Based on the obtained measurement data the CD control improvement potential has been analyzed. Our experimental and simulation results verify that light polarization has resolution enhancing potential already for features of the 70nm node and - of course - beyond.
Advanced negative photoresists for both KrF-excimer laser lithography (DN 21) and deep-UV broadband irradiation (DN 41) have been investigated. The materials are based on the well established chemistry of an acid-sensitive melamine derivative as the crosslinking species, a phenolic polymer containing 3-methyl-4-hydroxystyrene and 4-hydroxystyrene units, and an efficient photoacid generator. This paper briefly reviews the chemistry of DN 21 and DN 41 and concentrates on some selected lithographic performance parameters. The effects of various process conditions on resist performance have been examined, especially with respect to photosensitivity, contrast, and resist profiles. DN 21/DN 41 show an excellent delay time behavior between exposure and post exposure bake and are insensitive to environmental contaminants. An optimized process gives a resolution of 0.30 micron lines and spaces using a KrF-excimer laser stepper. A good linearity down to 0.35 micrometers and depth of focus values of clearly above 1.0 micron for 0.36 micrometers lines and spaces is shown. Other important factors for the lithographic performance, namely the 3-methyl-4-hydroxystyrene/4- hydroxystyrene ratio, the polymer/crosslinker ratio, and the concentration of photoacid generator is discussed as well. In particular, metal-ion and surfactant free standard developers yield highly vertical resist sidewalls without any scumming, bridging effects or residues between the resist lines. Dry etch properties of the material are found to be equal to conventional positive systems, while the thermal stability is superior.
The effect of process conditions on the performance of the chemically amplified radiation resists AZ PF514 (positive tone) and AZ PN114 (negative tone) has been examined for both X-ray and E-beam application. For the positive tone resist, it is found that mandatory atmospheric holding times for the catalytic reaction can be made redundant be the introduction of a post exposure bake at moderate temperature, yielding high exposure latitude, good linearity and a reduction of time-dependent effects. In particular, metal-ion free developers yield highly vertical resist sidewalls even for high overdoses. The sensitivity drift with increasing residence time in a vacuum (e.g. during E-beam exposure) may be counteracted by a simple DUV flood exposure which moreover may serve to control line shape and wall angle. Enhanced dry-etch stability and linearity may be obtained by means of an optimized DUV hardening process. The negative tone resist AZ PN114 is shown to be little sensitive to vacuum effects; thermal stability and dry etching properties are found to be superior. Results are presented for X-ray and shaped E-beam exposures.
The demand for enhancement of the resolution in optical lithography requires a shift to shorter exposure wavelengths. The achievable resolution in a lithographic process is usually determined by means of the Rayleigh criterion, minimum resolvable feature size = k1 A/NA in which k1 is an adjustable parameter dependent on resist type and processing parameters. While according to this criterion, resolution is inversely proportional to the numerical aperture, the depth of focus is decreased in an inverse square power dependence according to depth — of—focus = k2 A/(NA)2 For high NA steppers, depth of focus may quickly become the process —limiting factor. It is a distinct drawback of the Rayleigh criteria that the k —factors may only be determined a posteriori from the results of a given process, so that their predictive value is severely limited. In this contribution, computer modeling is used to investigate the depth of focusfresolution problem for different exposure wavelengths. An extension to the photolithography simulator SAMPLE has been made allowing of the simulation of bulk imaging effects which occur during formation of the latent image in the resist layer. The model implemented accounts for the depthwise defocus of the lateral irradiance distribution within the resist film and gives the actual resist profile as a final result. This extended lithography model explains the asymmetries in the photolithographic response observed in the focus offset dependence of submicron resist images when the depth of focus becomes comparable to the resist film thickness. It can be applied to deternzine the absolute position of the resist film suiface with respect to the Gaussian image plane. Furtherniore, the algorithm can be utilized to establish the usable f ocus latitude for a given combination of a projection lens/ resist system from the basic characteristics of lens system and resist material. The general approach closely follows the one suggested by Mack [1] using the PROLITH simulator. SAMPLE results are compared to experiment for g —line exposure, and the treatment is extended to DUV materials.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.