Pushing the limits of optical lithography by immersion technology requires ever smaller feature sizes on the reticle. At the same time the k1-factor will be shifted close to the theoretical limit, e.g. the OPC structures on the reticle become very aggressive. For the mask shop it is essential to manufacture defect free masks. The minimum defect size, which needs to be found reliably, becomes smaller with decreasing feature sizes. Consequently optical inspection of masks for the 45nm node and below will be challenging.
In this paper the limits of existing KLA inspection tools were investigated by systematic inspection of different structures without and with programmed defects. A test mask with isolated and dense lines/space patterns including programmed defects was manufactured, completely characterized by CD-SEM and inspected with state-of-the-art inspection system. AIMSTM measurements were used to evaluate the defect printing behavior. The analysis of the measurement data gives an input for requirements of reticle inspection of upcoming 45nm node and beyond.
For the successful reduction of chip production costs, the usage of more advanced designs with lower area consumption by manufacturing angled line structures is one possibility. The usage of conventional vector shaped electron beam writers does only allow writing Manhattan-like structures as well as 45 degree angled structures. There are several approximation possibilities for writing any angled lines, e.g. they could be approximated by writing only small rectangles or small rectangles in combination with small 45 degree triangles. This method introduces a very pronounced line edge roughness due to the written uneven edges. The critical dimension uniformity on the mask and the printing behavior are directly influenced by this synthesized line edge roughness. This paper addresses the investigation of critical dimension of the angled mask structures as well as the influence on the printing behavior. The different masks used in the experiment were patterned at the Advanced Mask Technology Center (AMTC). Measurements of pattern line widths were performed by using scanning electron microscopy techniques. The printing behavior of different structures was investigated by running AIMS measurements and performing exposure experiments. Comparing the mask structures and the final printed wafer structures, estimations on the transfer function of the synthesized line edge roughness could be performed.
Mask defects are of increasing concern for future lithography generations. The improved resolution capabilities of immersion and EUV systems increase also the sensitivity of these systems with respect to small imperfections of the mask. Advanced mask technologies such as alternating phase shift masks (AltPSM), chromeless phase shift lithography (CPL), or "thick" absorbers on EUV masks introduce new defect types. The paper presents an application of rigorous electromagnetic field modeling for the study of typical defect printing mechanisms in ArF immersion lithography and in EUV lithography. For standard imaging and mask technologies, such as binary masks or attenuated phase shift masks, small defects usually print as linewidth or critical dimension (CD) errors with the largest effect at best focus. For AltPSM, CPL masks, and EUV masks this is not always the case. Several unusual printing scenarios were observed: placement errors due to defects can become more critical than CD-errors, defects may print more critical at defocus positions different from the center of the process window, the defect printing may become asymmetric through focus, and the risk of defect printing depends on the polarization of the used light source. Several simulation examples will demonstrate these effects. Rigorous EMF simulations in combination with vector imaging simulations are very useful to understand the origins of the observed defect printing mechanisms.
In case drastic changes need to be made to tool configurations or blank specifications, it is important to know as early as possible under which conditions the tight image placement requirements of future lithography nodes can be achieved. Modeling, such as finite element simulations, can help predict the magnitude of structural and thermal effects before actual manufacturing issues occur, and basic experiments using current tools can readily be conducted to verify the predicted results or perform feasibility tests for future nodes. Using numerical simulations, experimental mask registration, and printing data, the effects on image placement of stressed layer patterning, pellicle attachment, blank dimensional and material tolerances, as well as charging during e-beam writing were investigated for current mask blank specifications. This provides an understanding of the areas that require more work for image placement error budgets to be met and to insure the viability of optical lithography for future nodes.
This paper intends to develop a measurement system to characterize photomasks for 193 nm lithography applications. Based on the VUV spectrophotometer at the Fraunhofer IOF institute, some modifications have been addressed to fulfil these special measurements. Characterizations on photomasks have been successfully carried out, which show good correlations to simulations.
In the process of discussion of possible mask-types for the 5x nm node (half-pitch) and below, the alternating phase-shifting mask (AltPSM) is a potential candidate to be screened. The current scenario suggests using 193 nm immersion lithography with NA values of up to 1.2 and above. New optical effects from oblique incident angles, mask-induced polarization of the transmitted light and birefringence from the substrate need to be taken into account when the optical performance of a mask is evaluated. This paper addresses mask induced polarization effects from dense lines-and-space structures on a real mask. Measurements of the polarization dependent diffraction efficiencies have been performed on AltPSM masks. Experimental results show good agreement with simulations. A comparison with Binary Masks is made.
For the new Schott EAPSM Material, comprising a Ta/SiO2/Cr stack, a patterning process has been developed.
The material offers the advantage of an independent adjustment of phase shift and transmission and is applicable for different wavelengths. Because of very homogenous Ta and SiO2 films and perfect etch selectivities it has been achieved a phase shift uniformity of 1.1° and a tight transmission deviation of 0.34% (absolute) across the entire mask.
First dry etch process development has been focused on profiles and selectivities. The influence of process parameters on sidewall angle, profile bow, resist loss and Cr loss of the three patterning steps are shown. We have achieved excellent selectivities and a final sidewall angle of > 88°.
The aerial image contrast of the first test plate is comparable to known attenuated phase shift material.
The goal of the present study was to investigate and quantify reticle stress birefringence in exposure conditions. Birefringence can arise in fused silica photomask substrates due to their state of stress, and cause optical effects such as phase front distortion, ray bifurcation, and polarization changes. These effects potentially produce image blurring and illumination non-uniformity, leading to lower resolution and CD variations, respectively. The main sources of substrate stress studied were the absorber stack, the mounting of a pellicle, and the impact of initial reticle bow when chucking in an exposure tool. Jones calculus was used to relate birefringence at discrete locations in the reticle, derived from the state of stress, to the net birefringence experienced by light passing through the mask. Experimentally-obtained birefringence data as well as analytical calculations of stress birefringence caused by known states of stress were used to validate the models. These results can then be compared to photomask birefringence specifications or employed in optical simulations to determine the precise impact of this substrate stress birefringence.
As the lithographic projection technology of the future will require higher numerical aperture (NA) values, new physical effects will have to be taken into consideration. Immersion lithography will result in NA values of up to 1.2 and above. New optical effects like 3D shadowing, effects from oblique incident angles, mask-induced polarization of the transmitted light and birefringence from the substrate should be considered when the masks optical performance is evaluated. This paper addresses mask induced polarization effects from dense lines-and-space structures of standard production masks. On a binary and on an attenuated phase-shifting mask, which were manufactured at the Advanced Mask Technology Center (AMTC) transmission experimental investigations were performed. Measurements of diffraction efficiencies for TE- and TM-polarized light using three different incident angles are presented for all considered mask types and compared to simulations. The structures under investigation include line-space-pattern with varying pitches as well as varying duty cycles. Experimental results show good agreement with simulations.
As microlithography moves to smaller critical dimensions, structures on reticles reach feature sizes comparable to the operating wavelength. Furthermore, with increasing NA the angle of incidence of light illuminating the mask steadily increases. In particular for immersion lithography this will have severe consequences on the printing behavior of reticles. Polarization effects arise which have an impact on, among other things, the contrast of the printed image. Angular effects have to be considered when aggressive off-axis illumination schemes are used. Whereas numerous articles have been published on those effects and the underlying theory seems to be understood, there is a strong need for experimental verification of properties of real masks at the actinic wavelength. This paper presents measurements of polarization effects on different mask blank types produced at Schott Lithotec including chrome and alternative absorber binary mask blanks, as well as phase shift mask blanks. Thickness and optical dispersion of all layers were determined using grazing incidence x-ray reflectometry (GIXR) and variable angle spectroscopic ellipsometry (VASE). The set of mask blanks was patterned using a special design developed at the Advanced Mask Technology Center (AMTC) to allow measurements at different line width and pitch sizes. VUV Ellipsometry was then used to measure the properties of the structured materials, in particular the intensities in the 0th and 1st diffraction order for both polarization directions and varying angle of incidence. The degree of polarization of respective mask types is evaluated for dense lines with varying pitches and duty cycles. The results obtained experimentally are compared with simulations based on rigorous coupled wave analysis (RCWA).
An assessment of the mechanical performance of pellicles from different vendors was performed. Pellicle-induced distortions were experimentally measured and numerical simulations were run to predict what improvements were desirable. The experiments included mask registration measurements before and after pellicle mounting for three of the major pellicle suppliers, and adhesive gasket material properties characterization for previously untested samples. The finite element numerical simulations were verified via comparison to experimental data for pellicles with known frame bows, measured by the vendor. The models were extended to simulate the effect of the chucking of reticles in an exposure tool, as well as the various magnification correction schemes available in such tools. Results were compared to ITRS requirements to evaluate performances. This study enables the AMTC to give important feedback to pellicle suppliers and make proper recommendations to customers for future pellicle choices.
Measurement by AIMS is the final step of mask defect control, and its accuracy is the critical issue to make guaranty and improve the mask quality. AIMS157 has developed by Carl Zeiss SMS GmbH and is expected to make a contribution to accelerate the 157nm lithography technology development. AIMS157 has been challenging to solve 157nm specific optical issues with accuracy for 65nm node photomask specifications. This paper discusses the defect measurement by AIMS157. Evaluation using programmed defect mask, repeatability is analyzed changing the optical parameters. Static and dynamic measurements were evaluated and the result shows the improved accuracy. It shows the possibility to be applied on 65nm node and smaller feature size.
In modern mask manufacturing, a successful defect mitigation strategy has been become crucial to achieve defect free masks for high-end lithography. The basic steps of such a strategy include inspection, repair, and subsequent post-repair qualification of repair sites. For the latter task, actinic aerial image measurements have been proven to be the technique of choice to assess the printability of a repaired site. In the last three years, International SEMATECH in cooperation with Infineon/AMTC-Dresden and SELETE, funded a joint development project at Carl Zeiss to develop an AIMS tool operating at the 157nm wavelength. The three beta tools were shipped in 2003 to the three beta customer sites. In this paper are presented the first results obtained with these beta tools, including measurements on binary as well as alternating phase shift masks. The technical properties of the tool were discussed with regards to the capability of the tool for defect qualification on photomasks. Additionally, preliminary results of the evaluation of alternating phase shift masks will be discussed, including measurements performed on dense lines-and-spaces structures with various pitch sizes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.