With the implementation of multi-patterning ArF-immersion for sub 20nm integrated circuits (IC), advances in equipment monitoring and control are needed to support on-wafer yield performance. These in-situ equipment monitoring improvements, along with advanced litho-cell corrections based on on-wafer measurements, enable meeting stringent overlay and CD control requirements for advanced lithography patterning. The importance of light-source performance on lithography pattering (CD and overlay) has been discussed in previous publications.[1-3] Recent developments of Cymer ArF light-source metrology and on-board monitoring enable end-users to detect, for each exposed wafer, changes in the near-field and far-field spatial profiles and polarization performance, [4-6] in addition to the key ‘optical’ scalar parameters, such as bandwidth, wavelength and energy. The major advantage of this capability is that the key performance metrics are sampled at rates matched to wafer performance, e.g. every exposure field across the wafer, which is critical for direct correlation with on-wafer performance for process control and excursion detection.
Reducing lithography pattern variability has become a critical enabler of ArF immersion scaling and is required to ensure consistent lithography process yield for sub-30nm device technologies. As DUV multi-patterning requirements continue to shrink, it is imperative that all sources of lithography variability are controlled throughout the product life-cycle, from technology development to high volume manufacturing. Recent developments of new ArF light-source metrology and monitoring capabilities have been introduced in order to improve lithography patterning control.[1] These technologies enable performance monitoring of new light-source properties, relating to illumination stability, and enable new reporting and analysis of in-line performance.
As IC dimensions continue to shrink beyond the 22nm node, optical single exposure cannot sustain the resolution
required and various double patterning techniques have become the main stream prior to the availability of EUV
lithography. Among various kinds of double patterning techniques, positive splitting pitch lithography-etch-lithographyetch
(LELE) double patterning is chosen for printing complex foundry circuit designs. Tighter circuit CD and process
margin control in such positive splitting pitch LELE double patterning process becomes increasingly critical especially
for topography issues induced by the 1st mask patterning with the 2nd mask exposure. In this paper, laser parameters,
topography issues with the 2nd mask exposure, and SMO effects on CD performances are described in terms of the
proximity CD portion of the scanner CD budget. Laser parameters, e.g. spectral shape and bandwidth, were input into the
photolithography simulator, Prolith, to calculate their impacts on circuit CD variation. Mask-bias dependent lithographic
performance was calculated and used to illustrate the importance of well-controlled laser performance parameters.
Recommended laser bandwidth, mask bias and topography requirements are proposed, based on simulation results to
ensure that the tight CD control (< 1nm) required for advanced technology node products can be achieved.
In this paper we discuss a laser focus drilling technique which has recently been developed for advanced
immersion lithography scanners to increase the depth of focus and therefore reduce process variability of contact-hole
patterns. Focus drilling is enabled by operating the lithography light-source at an increased spectral bandwidth, and has
been made possible by new actuators, metrology and control in advanced dual-chamber light-sources. We report wafer
experimental and simulation results, which demonstrate a process window enhancement for targeted device patterns.
The depth of focus can be increased by 50% or more in certain cases with only a modest reduction in exposure latitude,
or contrast, at best focus. Given this tradeoff, the optimum laser focus drilling setting needs to be carefully selected to
achieve the target depth of focus gain at an acceptable contrast, mask error factor and optical proximity behavior over
the range of critical patterning geometries. In this paper, we also discuss metrology and control requirements for the
light-source spectrum in focus drilling mode required for stable imaging and report initial trend monitoring results over
several weeks on a production exposure tool. We additionally simulate the effects of higher-order chromatic aberration
and show that cross-field and pattern-dependent image placement and critical dimension variation are minimally
impacted for a range of focus drilling laser spectra. Finally, we demonstrate the practical process window benefits and
tradeoffs required to select the target focus drilling laser bandwidth set-point and increase effectiveness of the sourcemask
solution for contact patterning.
The laser bandwidth and the wavelength stability are among the important factors contributing to the CD Uniformity
budget for a 45 nm and 32nm technology node NV Memory. Longitudinal chromatic aberrations are also minimized by
lens designers to reduce the contrast loss among different patterns. In this work, the residual effect of laser bandwidth
and wavelength stability are investigated and quantified for a critical DOF layer. Besides the typical CD implications we
evaluate the "image placement error" (IPE) affecting specific asymmetric patterns in the device layout. We show that
the IPE of asymmetric device patterns can be sensitive to laser bandwidth, potentially resulting in nanometer-level errors
in overlay. These effects are compared to the relative impact of other parameters that define the contrast of the
lithography image for the 45nm node. We extend the discussion of the contributions to IPE and their relative importance
in the 32 nm double-patterning overlay budget.
The need for improved lithography resolution has driven the development of light sources with ever shorter wavelength. Excimer lasers have extended the exposure wavelength down to 193nm. Further resolution extension will require the introduction of Extreme UV (EUV) light source technology at 13.5nm. The traditional light source driver at each technology node has been higher power which enables increased productivity. More recently, improved light source stability, driven by tighter CD and overlay budgets for Double Patterning processes, has become more important and developments in this area will be described. The leading challenge for insertion of EUVL is source power and lifetime, which are both necessary to ensure cost effective operation. The first Laser Produced Plasma (LPP) production source using a high power CO2 laser and tin droplet targets is described. High conversion efficiency has enabled high EUV power performance. Continuous operation up to 18 hours, with stable power output, has been demonstrated. High collection efficiency is obtained using a large (5sr) multilayer mirror collector optic. The first integrated source will be delivered to support scanners for process development and insertion of EUVL at the 22nm node. A roadmap for future generations of LPP sources with scalable power will be outlined.
With the push toward 32 nm half-pitch, OPC models will need to account for a wider range of sources of imaging
variability in order to meet the CD budget requirements. The effects of chromatic aberration on imaging have been a
recent area of interest but little work has been done to include this effect in OPC models. Chromatic aberrations in the
optical system give rise to a blurring of the intensity distribution in the imaging plane even for highly line-narrowed
immersion laser sources. The resulting focus blur can introduce a feature-dependent CD bias of several nanometers.
Usually, the empirical components of the resist model can reduce or completely compensate for this imaging effect.
However, it is not well known if including a more physical image model over a large range of laser bandwidth conditions
will improve the OPC accuracy or process-variability robustness.
This study demonstrates the correlation of physical laser bandwidth perturbations with perturbations of the optical model
in Calibre. The laser bandwidth is experimentally perturbed to obtain several sets of CD measurements for different
bandwidths. These are then used in model calibration with the corresponding perturbation in the optical model. Finally,
we quantify the improvement in model accuracy obtained when including an input of laser bandwidth.
Computational lithography (CL) is becoming more and more of a fundamental enabler of advanced semiconductor
processing technology, and new requirements for CL models are arising from new applications such as model-based
process tuning. In this paper we study the impact of realistic machine parameters that can be incorporated in a modern
CL model, and provide an experimental assessment of model improvements with respect to prediction of scanner tuning
effects. The data demonstrates improved model accuracy and prediction by inclusion of scanner-type specific modeling
capabilities and machine data in the CL model building process. In addition to scanner effects, we study laser bandwidth
tuning effects and the accuracy of corresponding model predictions by comparison against experimental data. The data
demonstrate that the models predict well wafer CD variations resulting from laser BW tuning. We also find that using
realistic spectral density distribution of the laser can provide more accurate results than the commonly assumed modified
Lorentzian line shape.
In this study, we discuss modeling finite laser bandwidth for application to optical proximity
modeling and correction. We discuss the accuracy of commonly-used approximations to the laser spectrum
shape, namely the modified Lorentzian and Gaussian forms compared to using measurement-derived laser
fingerprints. In this work, we show that the use of the common analytic functions can induce edge
placement errors of several nanometers compared to the measured data and therefore do not offer
significant improvement compared to the monochromatic assumption. On the other hand, the highlyaccurate
laser spectrum data can be reduced to a manageable number of samples and still result in sub
0.5nm error through pitch and focus compared to measured spectra. We have previously demonstrated that
a 23-point approximation to the laser data can be generated from the spectrometry data, which results in
less than 0.1nm RMS error even over varied illumination settings. We investigate the further reduction in
number of spectral samples down to five points and consider the resulting accuracy and model-robustness
tradeoffs. We also extend our analysis as a function of numerical aperture and illumination setting to
quantify the model robustness of the physical approximations. Given that adding information about the
laser spectrum would primarily impact the model-generation run-times and not the run-times for the OPC
implementation, these techniques should be straightforward to integrate with current full-chip OPC flows.
Finally, we compare the relative performance of a monochromatic model, a 5-point laser-spectral
fingerprint, and two Modified Lorentzian fits in a commercial OPC simulator for a 32nm logic lithography
process. The model performance is compared at nominal process settings as well as through dose, focus
and mask bias. Our conclusions point to the direction for integration of this approach within the framework
of existing EDA tools and flows for OPC model generation and process-variability verification.
We investigate the effect of finite laser bandwidth on line-space (L/S) imaging, both through simulations and experiment. We will show that the primary effect of laser bandwidth is a change of the optical-proximity behavior of the scanner, i.e., a modification of the critical dimensional pitch [CD(Pitch)] characteristic, and that depth of focus typically remains unaffected. The simulation part of this study resulted in a simple estimator, expressing bandwidth-induced CD changes in terms of the “quadratic focus-sensitivity” (1/2 d2CD/dF2) of the L/S structure, a parameter which we call the “second moment” of the laser spectrum, M2, and the longitudinal chromatic-aberration of the scanner (dF/d) only. The experimental part of this study, in which we measured CD(pitch) curves at different laser-bandwidth settings of the ASML XT:1700i NA=1.20 immersion scanner at Interuniversitary Micro-Electronic Centre (IMEC), confirms the results of the theoretical part, while relating the bandwidth dependency of the CD effects also to the experimentally available E95 metric. We conclude that even though the laser bandwidth of modern scanners is quite low, bandwidth effects do contribute to their proximity behavior and impact proximity stability as well as scanner-to-scanner proximity differences. We present a critical evaluation of current laser-bandwidth metrics and comment on the trade-off between the average laser bandwidth and laser bandwidth stability in order to achieve a required level of proximity control (e.g., between scanners).
In this work, we model the effects of excimer laser bandwidth on optical proximity effects in high-NA ArF dry and immersion lithography. We quantify the errors introduced by using common
approximation methods for the laser spectrum, such as the modified Lorentzian and Gaussian forms.
Although these approximations are simple to use, and their symmetry properties can lead to reduced
simulation run-times, they typically induce significant CD error when compared to the use of measured
spectral profiles, which are obtained from high-resolution spectrophotometry. In this paper we establish
some accuracy benchmarks and demonstrate the need for inclusion of information about the spectral
profile - for the laser type of interest - in order to achieve sub-nanometer image calculation accuracy
required for optical proximity correction. We further assess the speed-accuracy tradeoffs in terms of data
truncation and sampling, and propose some practical limits for sampling the illumination spectrum.
Additionally, in this work, we propose a new physically-based spectrum approximation method,
which significantly reduces computation time at a cost of less than 0.25nm residual image-CD error from
the fully-sampled image calculation. In addition to aerial image, we compare 45nm-node calibrated resist
models and latent image results for 0.92NA dry and 1.2NA immersion processes using measured
illumination profiles and lens aberrations. Finally, we consider the laser bandwidth sensitivity of 2D line-end
patterns and typical post-OPC designs for a logic gate-process.
The combined impact of longitudinal chromatic aberrations, focus-drilling, and Z-noise on several lithographic
performance metrics is described. After review, we investigate an improved method for simulating the lithographic
behavior of longitudinal chromatic aberrations stemming from the finite bandwidth of excimer laser pulse-spectra
using PROLITHTM v. 9.3.3. Additionally, we explore two methods for modeling the lithographic improvements related
to focus-drilling and new PROLITH functionality for modeling the effects of Z-noise. Our case studies involve reinvestigating
the RELAX process and providing a framework for accurate lithographic simulation using machine
specific pulse-spectral data, modified Lorentzian, and Gaussian models. After presentation and analysis, we discuss
potential applications including methods for improved focus budgets and improved mask design.
In this work, we demonstrate a resolution enhancement technique for DUV lithography in which the light source spectrum is modified in order to improve the imaging performance of given device patterns. With this technique, termed RELAX, the imaging depth of focus (DOF) can be improved significantly for contact holes, and potentially line-space patterns. The improvement in the DOF comes at the expense of modest deterioration of other process performance metrics, such as exposure latitude and exposure bias, due to reduced image contrast at best focus. Compared to the FLEX-based techniques, RELAX allows a continuum of tunable spectral conditions without the drawback of multiple exposure passes, which is especially critical for step-and-scan lithography. Spectrum modification is accomplished by replacing the line narrowing and wavemeter modules of the excimer laser light source with RELAX-enabled modules. Direct wavefront modification of the laser output has been demonstrated to provide the optimum method for producing a double peak spectrum, which simulation has shown to produce the maximum DOF benefit. Results from imaging experiments of attenuated-PSM contact structures exposed using 248nm dipole illumination showed DOF improvements of up to 70% with a double peak separation of about 2pm. Lateral chromatic effects at this separation were negligible. These results agreed well with previous double exposure experiments1 and simulations of some of the design structures. The process improvements were obtained without a need for re-biasing of the mask structures, although a dose adjustment was required.
A technique was developed to investigate the role of aerial image contrast and image-log-slope (ILS) on the resulting magnitude of line edge roughness (LER) in resist with the goal of determining if the minimization of LER in current state-of-the-art, chemically amplified resist materials was limited by the quality of the projected aerial image or the materials and processing of the resist. The process of image fading was employed as the vehicle for controlled aerial image degradation. By reducing the quality of the aerial image through fading, the image contrast and ILS were decreased in a well-controlled and predictable manner, resulting in increased magnitude of LER. The link between experiment and simulation was made possible by the identification of the iso-fading condition, which in analogy to the iso-focal dose, results in a unique exposure dose for which the critical dimension (CD) of a resist feature does not change with increasing levels of fading. At the iso-fading condition, experimentally measured values for LER were analyzed as a function of the contrast and ILS of the aerial image used for patterning. It was determined that contrast was a poor predictor of the magnitude of LER though variations in feature type or illumination. The change in LER as a function of the ILS, however, produced a common basis for the comparison of LER through variations in line width, pitch, fading, increased background level of light, and illumination conditions. To include the effects of exposure dose on the resulting LER of resist features, the experimentally measured analog of the ILS, the resist edge-log-slope (RELS), was also used to produce a common curve for the evaluation of resist LER. Although overexposure can be used to further increase the ILS of the aerial image at the edge of the printed feature, the magnitude of 3σ LER in PAR735 resist appeared to be limited to a value of approximately 5.0nm in the limit of infinite RELS. This suggested that while the aerial image plays a strong role on determining the magnitude of LER during resist printing, there also exists a fundamental limitation to LER from the resist materials that cannot be improved by further increase in the quality of the aerial image.
The deployment of 157nm lithography for manufacturing of integrated circuits is faced with many challenges. The 65 and 45nm ITRS nodes, in particular, require that the lithographic imaging technology be pursued to its theoretical limits with full use of the strongest resolution enhancement techniques. Stringent demands are therefore placed on the quality of the imaging optics to attain the optimal image fidelity for all critical IC device structures. Besides aberrations and light scatter in projection optics, image quality is also strongly influenced by the dynamics of the wafer and reticle stage. The tradeoffs involved in increasing scan speeds and exposure slit-widths, to achieve the ever-important productivity improvements as well as aberration, distortion, and pulse-energy averaging, must be carefully gauged against the image quality impacts of scan-induced errors. In this work, we present a simulation methodology, based on incoherent image superposition, for treatment of the general aerial image effects of transverse image-blur in two dimensions. Initial simulations and experimental results from state-of-the-art 193nm scanner exposures are discussed. The requirements for the transverse image stability during a step-and-scan exposure are defined in the context of 193nm and 157nm lithography, based on generalized image contrast and process window criteria. Furthermore, careful consideration of actual mask layout (post resolution enhancement and optical proximity correction) is necessary in order to understand the implications on CD control. Additionally, we discuss the contributors to transverse image blur in scan-and-repeat lithography, and show that the fading requirements for 65nm and 45nm node imaging notably differ from predicted exposure set-up and process contributions in manufacturing. The total fading budget, or tolerance, for the 65nm node is 15nm, and less than 10nm for the 45nm node given the present imaging strategy assumptions. This work concludes that image-blur contributors must be well controlled, and as such are enablers of 65nm and 45nm lithographic imaging.
Litho-tool illuminator performance, characterized by quantitative measurements of pupil-fill intensity distribution and cross-field uniformity, has been cited as a key contributor to CD uniformity. While both modeling exercises with simulated pupil fills and measurements of real pupil fills have been undertaken, quantitative assessments of the pupil's effect when compared with other CD error contributors are rare. An integral part of illuminator performance is, of course, the laser. Not only must a litho laser meet stringent requirements at installation, but also the litho tool and laser suppliers are responsible for ensuring performance after maintenance activity, such as laser module replacement. We have investigated the effects of adjustable spatial laser parameters on the illuminator pupil fill as measured via a pinhole reticle and on illumination uniformity as measured by the scanner. We present the experimental results of these studies, estimates of their effects on litho performance via modeling, the sensitivity of lithographic performance to the spatial parameters, and an assessment of their importance relative to other lithographic variables affecting CD uniformity. Results show that not only is the baseline illuminator pupil-fill performance a small contributor to lithographic error, but also that the system is stable in the presence of laser adjustments.
In this paper, various optical proximity effects are evaluated as a function of spectral properties of excimer laser illumination. Sensitivity of linewidth biasing and line-end pullback to spectral bandwidth and its variations is investigated using computer simulations based on PROLITH software. Studies are performed for isolated and dense lines ranging in size from 150nm to 130nm using projection lens numerical aperture of 0.7 and KrF illumination. Results show that a non-linear, through-pitch critical dimension sensitivity to laser bandwidth variation introduces additional feature biasing, which can not be compensated with optical proximity correction techniques, and can result in an additional shift of the iso-dense bias. Also, line-end pullback of isolated lines exhibits a non-linear response to bandwidth resulting in up to 7nm of pullback per 0.1pm of bandwidth change.
In this study, process latitude, mask error enhancement factor and iso-dense bias have been experimentally measured as a function of the KrF excimer laser bandwidth. The experiment results are in agreement with photoresist simulations over a range of imaged nominal feature sizes from 120nm to 300nm at 0.6/0.75 NA/(sigma) . The mask error enhancement factor (MEEF) is shown to vary by approximately 2.3 percent for 160nm and 3.3 percent for 150nm isolated lines per 0.1pm of excimer-laser bandwidth, characterized by the full width at half maximum (FWHM). The 180nm line iso-dense bias exhibits a shift of approximately 2nm per 0.1pm FWHM. Under the given process conditions, linear empirical relationships are derived for the dependency of MEEF and iso-dense offset on FWHM excimer-laser spectral width for a range of imaged CDs. Such considerations can be used to augment the existing predictive CD-control estimation and model-based optical proximity correction.
Bandwidth of a laser spectrum is generally specified in terms of the full-width-at-half-maximum (FWHM) metric. Another bandwidth specification is based on the 95% integral energy (E95%) of the spectrum. While providing a more complete information about the spectral shape, E95% bandwidth is very sensitive to small changes in spectral background intensity. In this work, both bandwidth specifications and their effects on aerial image properties are evaluated using computer simulations. Also, in order to obtain a more comprehensive understanding of illumination spectrum effects on lithographic imaging, aerial image sensitivity to the shift of central wavelength and to the change of spectral background intensity is investigated. Results show that the overall shape of the laser spectrum is critically important, and that the E95% metric is more suitable for bandwidth specification.
In this paper we study the effects of changing the operating laser wavelength on the projection lens aberrations of KrF and ArF scanners as measured by the Litel In-Situ Interferometer. Specifically, we quantify the change in 28 individual Zernike coefficients as a function of wavelength as well as the total RMS. Effects on Zernike's exhibiting a field dependent behavior are described in detail. We convert the Z4 terms to Z positions to estimate the displacement of the image plane, and we identify a new chromatic distortion term. Finally, we input the measured wavefronts into a lithographic simulator to estimate the full effects on image placement error.
Quantification of projection lens aberrations in lithographic exposure systems has gained significant importance due to more stringent critical dimension control and image fidelity requirements. As linewidths shrink, the impacts of wavefront aberrations on imaging become more pronounced. Therefore, minimization of the wavefront aberrations across the image field is desired and has led to the development of a number of measurement approaches. The proposed techniques have been evaluated extensively for characterization and specification of lens systems, adjustments, matching, and periodic control and monitoring of lithography systems for volume production. In this study, we discuss the contribution of excimer laser bandwidth towards lens aberrations. We carry out simulations of the effects of image contrast on conventional projection patterning, to evaluate the degree of aberation-induced linewidth changes depending on image contrast level. Also, experiments have been conducted to measure the response of wavefront error as a function of spectral bandwidth for a 0.6NA stepper and scanner. Depending on the field location, a positive relationship is observed between the measured aberration level and bandwidth. We propose a formalism to correlate the aberration measurement with aberration response to wavelength offset, presented elsewhere.[2] The wavefront error, in this work, is measured using a commercially available in-situ interferometric technique, whose response is largely insensitive to focal plane changes and partial coherence.
Accurate and precise metrology and control for stepper or scanner objective lens focal-length is critical for sub- 0.25micrometers design-rule photolithography, specifically for CD, isolated-dense offset, and resist sidewall control of minimum feature geometries. As next generation processes result in reduction of the manufacturing process latitudes, contribution of the focus metrology error will continue to consume a larger portion of the useable depth of focus. In addition to improvement of the cross-field photoresists image fidelity, if the focal length measurement precision is improved, lithographic rework and device yield implications, due to mask and imaging linewidth error, are minimized in production.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.