The multi-beam mask writer MBM-3000, where beam current density increases to 3.6A/cm2, the beam count doubles for faster writing speed, and beam size decreases from 16nm to 12nm for higher resolution, has been released since 2023 to support the N2 device technology node. We developed not only the optics with improved writing accuracy for reduction in the Coulomb interaction effects but also a new data path, and moreover introduced a new data format MBF2.1 for efficient handling of curve data. Writing time of MBM-3000 now becomes 10.5 hours when exposure dose is 200 μC/cm2. Consequently, the system grows in power compared with previous series including MBM-2000 PLUS.
The multi-beam mask writer MBM-3000 designed to achieve N2 device technology node was released. The key concepts of the MBM-3000 are compatible with better resolution and faster throughput than our current multi-beam writer MBM- 2000PLUS. In order to reach these objective, the MBM-3000 is equipped with 12-nm beamlets, a single powerful electron source, a new optics design and enhanced data path systems. The smaller beamlets produce improved resolution and decreased productivity. However, the powerful cathode, which outputs a beam current density of 3.6A/cm2, prevent declined throughput. The new optics systems and enhanced data path support both the better resolution and the throughput.
The multi-beam mask writer MBM-3000 is launched in 2023 for next generation EUV mask production. It is equipped with 12-nm beamlets and a powerful cathode that brings out a beam current density of 3.6A/cm2, in order to achieve higher resolution and faster writing speed than our current writer MBM-2000PLUS. New optics with a next-generation blanking aperture array (BAA) is installed in order to double the beam count. The optics is designed to reduce the Coulomb interaction effects. It is equipped with aberration correctors to reduce image field distortion and other types of aberrations to obtain the best beam performance. Data path is enhanced by the more computation resource and a new data format MBF2.1 which supports curve representation to process curvilinear pattern data efficiently. Writing tests confirmed that the MBM-3000, which uses a 1.5X larger beam current than the MBM-2000, simultaneously enhances both resolution and throughput.
The multi-beam mask writer MBM-3000 is launched in 2023 for next generation EUV mask production. It is equipped with 12-nm beamlets and a powerful cathode that brings out a beam current density of 3.6 A/cm2, in order to achieve higher resolution and faster writing speed than our current writer MBM-2000PLUS. New optics with a next-generation blanking aperture array (BAA) is installed in order to double the beam count. The optics is designed to reduce the Coulomb interaction effects. It is equipped with aberration correctors to reduce image field distortion and other types of aberrations to obtain the best beam performance. Patterning resolution is improved by these measures. Writing tests confirmed that the MBM-3000, which uses a 1.5X larger beam current than the MBM-2000, simultaneously enhances both resolution and throughput.
Electron multi-beam mask writers play a key role to expand EUV lithography usage in device mass production. It was in May 2021 when the MBMTM-2000 was released by NuFlare Technology, Inc. Since then, it has been installed at sites of mask manufactures. Accordingly, it supports their mask development and production. On top of reliable inherited mask writing technologies, newly developed technologies, which have achieved objectives, enable the multi-beam writer to make many contributions. In June 2022, the MBMTM-2000PLUS for the 2 nm node device development eventually debuted. The increased beam current density, 3.2 A/cm2 allows this latest mask writer to print a mask with productive writing time even using lower sensitivity resists. A charge effect reduction (CER) is a hardware solution to reduce amount of the resist surface charge. Thanks to adapting CER2.0, which is upgrade version of CER1.0, the image placement error caused by the resist surface charge effect in the MBMTM-2000PLUS is reduced by 50% as compared with the MBMTM-2000 with optics CER1.0. This successor also takes over essential functions including pixel level dose correction (PLDC), charge effect correction (CEC), and glass thermal expansion correction (GTEC) from the MBM-2000. In this paper, the current performance of MBM series mask writers and the key architectures above-mentioned have been discussed. We also explain our strategies to keep continuous throughput improvement by optimizing items such as beam size, data transfer speed, beam current density and so on. Our roadmap indicates that NuFlare Technology, Inc. makes contribution to the high-NA EUV ecosystem.
Multi-beam mask writer MBM-2000PLUS has been released for the 3nm+ technology node. It is designed with the capability of low dose sensitivity resist over 150 uC/cm^2 in the writing of leading-edge EUV and optical blanks without constraint by beam exposure time. Furthermore, taking advantage of multi-beam writing strategy and its high beam current density, ultra-high throughput writing is also available by selective pixel size. This selective pixel size will make it possible to product both leading edge and middle grade masks efficiently. In this paper, the relation between the pixel size, throughput and precision is discussed and demonstrated by writing experiments in MBM-2000PLUS.
MBM-2000, the latest multi-beam mask writer of Nuflare Technology, Inc. (NFT), have achieved reasonable writing time in mask fabrication of 3nm semiconductor technology node, which demand small curvilinear patterns in EUV masks and curvilinear OPC patterns in optical masks. For less line edge roughness and better pattern fidelity, however, the demand of lower dose sensitivity resists keeps increasing. In such a situation, the beam exposure time can be the main bottleneck of the writing time. In order to meet the demand, NFT has developed MBM-2000PLUS, which achieved high beam current density of beamlets ×1.3 larger than MBM-2000. As the result, the writing time became constant up to 170 μC/cm2 exposure dose condition without confinement by beam exposure time. Even at the region of exposure dose confinement, the writing time became 20% less than MBM-2000 at 200 μC/cm2 dose condition. In addition, charge effect reduction (CER), which is an electron optics system reducing resist charge effect, has been upgraded from MBM-2000 for improving image placement accuracy. Furthermore, MBM-2000PLUS inherits pixel level dose correction (PLDC) function from MBM-2000 as the solution for less edge placement error and better pattern fidelity. In this paper, those features of MBM- 2000PLUS are highlighted including improvement of pattern fidelity by PLDC function. In order to verify PLDC, a new methodology of quantitative evaluation of pattern fidelity using sine-shape pattern is introduced.
MBM-2000, the latest multi-beam mask writer of Nuflare Technology, Inc. (NFT), have achieved reasonable writing time in mask fabrication of 3nm semiconductor technology node, which demand small curvilinear patterns in EUV masks and curvilinear OPC patterns in optical masks. For less line edge roughness and better pattern fidelity, however, the demand of lower dose sensitivity resists keeps increasing. In such a situation, the beam exposure time can be the main bottleneck of the writing time. In order to meet the demand, NFT has developed MBM-2000PLUS, which achieved high beam current density of beamlets ×1.3 larger than MBM-2000. As the result, the writing time became constant up to 170 μC/cm2 exposure dose condition without confinement by beam exposure time. Even at the region of exposure dose confinement, the writing time became 20% less than MBM-2000 at 200 μC/cm2 dose condition. In addition, charge effect reduction (CER), which is an electron optics system reducing resist charge effect, has been upgraded from MBM-2000 for improving image placement accuracy. Furthermore, MBM-2000PLUS inherits pixel level dose correction (PLDC) function from MBM-2000 as the solution for less edge placement error and better pattern fidelity. In this paper, those features of MBM2000PLUS are highlighted including improvement of pattern fidelity by PLDC function. In order to verify PLDC, a new methodology of quantitative evaluation of pattern fidelity using sine-shape pattern is introduced.
The multi-beam mask writer MBM-2000 is released for the 3 nm technology node. It is designed to expose EUV blanks and leading edge photomasks at high throughput with beamlets of total current 1.6 uA. The curve data format supported by MBF 2.0 enables full-mask writing of curvilinear patterns by reducing data volume and computation cost of rasterizing. To maximize the performance of multi-beam writing, MBM-2000 is equipped with pixel level dose correction (PLDC) which improves pattern fidelity and patterning resolution. In this paper, we have reported and discussed the writing results of MBM-2000.
A multi-beam mask writer, MBM-2000 is developed for the N3 semiconductor production. It is designed to accomplish high throughput with 16-nm beam and large current density 2.5 A/cm2. It is equipped with curve data format MBF2.0 to allow writing of small curve patterns in EUV masks and curvilinear OPC patterns in optical masks. To improve patterning resolution, pixel-level dose correction (PLDC) is implemented which corrects and enhances profile of dose deposited in resist. Writing tests have proven the global position accuracy that meets MBM-2000’s specification and the effectiveness of fidelity improvement function of PLDC.
A multi-beam mask writer MBM-2000 is developed for the 3 nm technology node. It is designed to expose EUV blanks with beamlets of total current 1.6 uA at high throughput. It also supports writing leading-edge photomasks by equipping a correction function for glass thermal expansion and high-speed data path. Fast writing modes are provided for middle-grade photomask writing. Inline function of pixel level dose correction (PLDC) is implemented to reduce mask turnaround time by replacing offline corrections with PLDC, with additional benefit of fidelity improvement by dose enhancement. In this paper, writing results of MBM-2000 are reported and discussed.
This paper covers the writing performance of our multi-beam mask writer, MBM-1000, which has been developed for the 5 nm technology node. It exposes low sensitivity resist faster than VSB writers and prints complex patterns with better fidelity. We will describe its writing performance and compare it with our VSB writer, EBM-9500 PLUS. MBM-1000 has pixel-level dose correction (PLDC). PLDC modulates dose profile at pixel level to improve pattern fidelity and patterning resolution. It is integrated with MBM-1000 data path and runs inline. We will also report functions and writing results of MBM-1000 with PLDC.
We investigated the contribution ratio of process fidelity and beam accuracy in patterning with the multi-beam mask writing system. A beam pitch-related line edge profile may occur, which impacts on line edge roughness (LER) in the multi-beam writing system. The printability of beam image into the final etched pattern depends on the mask process, therefore, we need to understand quantitatively the printability of beam placement errors on LER with the actual mask process. We examined how the patterning characteristics are modified in each step of the mask process. The printability of beam placement errors largely depend on the period of errors, rather than the amplitude of errors. These results can optimize the writing strategy in multi-beam mask writing.
A multi-beam mask writer MBM-1000 is developed for the N5 semiconductor production. It is designed to accomplish high resolution with 10-nm beam and high throughput with the 300-Gbps blanking aperture array and inline corrections. It inherits dose correction functions for secondary dose from scattered electron which has been used with single variable shaped beam (VSB) writers with full compatibility. It also has new corrections in scale shorter than 10 um to cope with electron backscattering occurring with EUV substrates and CD bias from resist process. To improve patterning resolution, pixel level dose correction (PLDC) is implemented to correct and enhance profile of dose deposited in resist. Writing test with pCAR showed that PLDC solves breakage and loss of assist patterns without affecting shape and size of main patterns.
The multibeam mask writer MBM-1000 is developed for semiconductor production for the 5-nm technology node. It is designed to accomplish high patterning resolution with a 10-nm beam and high throughput with blanking aperture array supporting data transfer rate of 300 Gbps and an inline real-time data path. It has better beam resolution than the EBM-9500 and has higher throughput at a shot count of more than 500 Gshot/pass. To further improve patterning resolution, pixel-level dose correction (PLDC) is implemented to MBM-1000. It enhances dose contrast by dose modulation pixel by pixel. Correction efficiency of PLDC is evaluated for linearity correction by simulation with a threshold dose model. It is concluded that PLDC corrects critical dimension linearity even without extra dose modulation and improves dose margin with additional dose modulation of 140%.
Multi-beam mask writer MBM-1000 is developed for N5 semiconductor production. It is designed to accomplish high resolution with 10-nm beam and high throughput with 300-Gbps blanking aperture array (BAA) and inline real-time data path. It has better beam resolution than EBM-9500 and has higher throughput at shot count more than 500 Gshot/pass. To further improve patterning resolution, pixel level dose correction (PLDC) is implemented to MBM-1000. It performs dose contrast enhancement by dose modulation pixel by pixel. Correction efficiency of PLDC is evaluated for linearity correction by simulation with threshold dose model. It is concluded that PLDC corrects linearity efficiently even without extra dose modulation, and improves dose margin with additional dose modulation of 140%.
Multi-beam mask writer MBM-1000 is developed for N5 semiconductor production. It is designed to accomplish high resolution with 10-nm beam and high throughput with 300-Gbps blanking aperture array (BAA) and inline real-time data path. It has better beam resolution than EBM-9500 and has higher throughput at shot count more than 500 Gshot/pass. To further improve patterning resolution, pixel level dose correction (PLDC) is implemented to MBM-1000. It performs dose contrast enhancement by dose modulation pixel by pixel. Correction efficiency of PLDC is evaluated for linearity correction by simulation with threshold dose model. It is concluded that PLDC corrects linearity efficiently even without extra dose modulation, and improves dose margin with additional dose modulation of 140%.
Multi-beam mask writer MBM-1000 is developed for N5. It is designed to accomplish higher throughput than a singlebeam
VSB writer EBM-9500 at shot count higher than 500 G/pass, and write masks with low sensitivity resist to have
better CDU and patterning resolution. Product version of blanking aperture array (BAA) for MBM-1000 is fabricated
along with data transfer system to accomplish data rate of 300 Gbps. They have been integrated with writing control
software based on MBF format, a tool-specific format which handles any-angle pattern and polygon patterns. Writing
test without re-adjustment of beam current showed that exposure time control by BAA blanking is very stable, and linear
CD drift is less than 0.1 nm for 10 hours. Complex OPC pattern and ring pattern were printed on low-sensitivity pCAR
resist and showed good resolution to resolve 25 nm isolated line.
Multi-beam mask writer MBM-1000 will be released in Q4 2017 for N5 semiconductor production. The motivation to go to multi-beam is high throughput at aggressive shot count. MBM-1000 performs better than EBM-9500, which is our latest VSB writer, at shot count of 500 G/pass or more because of exposure count and beam current independent to figure count. Key technology for high throughput is cathode and high-voltage power supply which provides large beam emission current, inline data path and blanking aperture array (BAA) with 300 Gbps data ratio. In this paper, design of data path and BAA for MBM-1000 are described.
Multi-beam mask writer MBM-1000 will be released in Q4 2017 for N5 semiconductor production. Performance of
MBM-1000 is under verification and tuning by using alpha tool upgraded to high-volume manufacturing (HVM) system.
It is designed to realize better resolution and higher throughput than EBM-9500, our latest variable-shaped-beam writer,
at shot count higher than 500 Gshot/pass. Writing test after upgrade confirmed that MBM-1000 has better beam
resolution than EBM-9500 as expected by optics design. It also showed that position of beam array projected on target
was stable during one hour writing enough to accomplish registration target. Design of data transfer system and BAA
for 300-Gbps data rate is described.
NuFlare has started development of multi-beam mask writer MBM-1000 aiming to apply to N5 and to release in Q4
2017. MBM-1000 is based on large area projection optics with shaping aperture array plate, blanking aperture array
(BAA) plate, single cathode and inline/realtime data path for vector data rasterization and bitmap dose correction. It is
designed to accomplish higher throughput than EBM series (variable shaped beam (VSB) writers) with massive beam
array, higher resolution by using 10-nm beam size and 10-bit dose control, and better writing accuracy with more write
passes. Configuration of MBM-1000 and flow of data path processing are described. Write time estimation suggests
MBM-1000 has advantage over VSB writer with shot count > 200 Gshot/pass and resist sensitivity >75 μC/cm2.
Printing test of 20 nm hp 1:1 line and space pattern with ZEP-520 resist showed better beam resolution of MBM-1000
alpha tool than EBM series.
To enhance global CDU attained by our EB mask writer EBM-8000, we examined extending the loading effect correction (LEC) function to treat plural of loading effects, for instance, develop and etch loading. Here, we propose a LEC dose composition method, assuming uniquely-defined relation between amount of dose modulation and resultant CD change. Sets of LEC dose maps (pairs of base dose maps and proximity backscattering ratio maps) are converted to sets of CD change maps which are summarized to create a set of dose maps used for writing. This paper describes the correction procedure and possible applications of the method.
We report our development of fogging effect correction method aimed for EBM-8000, our newest series of EB mask
writers for mask production of 22nm half-pitch generation and for mask development of 16nm half-pitch generation. We
refined the method of fogging effect correction by taking account of dose modulation for proximity effects correction
and loading effect correction into fogging effect correction, greatly reducing theoretical error. Writing experiment has
shown that our method based on the threshold dose model is effective, though deviation from the model is observed.
We previously proposed a new method to correct critical dimension (CD) errors appearing in large-scale integrated circuit (LSI) fabrication processes, such as long range loading effect, local flare, and micro loading effect. The method provides high accuracy correction dimensions when using the pattern modulation method (method correcting CD errors by controlling figure sizes of LSI patterns). Now the case that several processes cause CD errors when a layer of an LSI pattern is fabricated on a wafer is discussed. These CD errors are corrected by generalizing the method proposed previously and taking the sequence of processes into account. It is shown from numerical calculation that the method can suppress the CD error to less than 0.01 nm with three iterations, under the condition that the maximum CD errors by micro loading effect and flare are 10 nm and 20 nm, respectively. It is strongly suggested that our methods will provide the necessary CD accuracies in the future.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.