One of the most critical challenges in the lithography process is to effectively control all critical patterns over the full exposure field, across wafer, and from lot to lot consistently. ASML’s advanced dose-control solutions have been widely adopted to control CDU of critical patterns. A new high-order dose-control capability is introduced with extended controllability over a larger number of patterns to mitigate the stochastic effect and optimize dies-in-spec performance. Traditionally, designed marks or patterns are placed in the die for dense metrology sampling required for the advanced high-order dose-control applications. However, this method has a few disadvantages especially for logic foundry use cases. For example, the designed marks are often not identical to random logic critical patterns, thus leading to a situation in which marks are controlled well while device patterns are not. In-die placement of the designed marks normally imposes constrains in device layout, which is not acceptable in some cases such as large-die layouts. A preferred approach would be to measure directly on device-critical and/or weak-point (WP) patterns. But this brings up another challenge in metrology of device WP patterns. With conventional CDSEM the amount of data points is limited by the tool throughput. WP patterns are typically 2D patterns, with normally a high noise contribution from local variations (due to resist stochastics) and metrology. Thus to suppress the local variations, averaging of many local measurements of 2D WP patterns is preferred. This requires a high throughput e-beam metrology tool capable of making massive amount of inline measurements within a given cycle time. To address these challenges, we have developed a method of using yield-limiting device patterns to directly control dose and thus improve CDU. Close to 100 WPs per in-die location have been selected with a dense die coverage to minimize the contribution to global CDU from the local variations and metrology noise. A high-speed e-beam metrology tool is used to measure all the selected WP patterns. A CDU budget breakdown (BB) has been analyzed to identify and quantify CDU contributors, such as reticle fingerprint, OPC error, local CDU, metrology noise, etc. Different in-die WP sampling and dose-control methods are studied in this work to achieve optimal CDU correction while keeping the metrology cycle time under control for HVM implementation.
As technology continues to scale aggressively, Sub-Resolution Assist Features (SRAF) are becoming an increasingly key resolution enhancement technique (RET) to maximize the process window enhancement. For the past few technology generations, lithographers have chosen to use a rules-based (RB-SRAF) or a model-based (MB-SRAF) approach to place assist features on the design. The inverse lithography solution, which provides the maximum process window entitlement, has always been out of reach for full-chip applications due to its very high computational cost. ASML has developed and demonstrated a deep learning SRAF placement methodology, Newron™ SRAF, which can provide the performance benefit of an inverse lithography solution while meeting the cycle time requirements for full-chip applications [1]. One of the biggest challenges for a deep learning approach is pattern selection for neural network training. To ensure pattern coverage for maximum accuracy while maintaining turn-around time (TAT,) a deep-learning-based Auto Pattern Selection (APS) tool is evaluated. APS works in conjunction with Newron SRAF to provide the optimal lithography solution. In this paper, Newron SRAF is used on a DRAM layer. A Deep Convolutional Neural Network (DCNN) is trained using the target images and Continuous Transmission Mask (CTM) images. CTM images are gray tone images that are fully optimized by the Tachyon inverse mask optimization engine. Representative patterns selected by APS are used to train the neural network. The trained neural network generates SRAFs on the full-chip and then Tachyon OPC+ is performed to correct main and SRAF simultaneously. The neural network trained by APS patterns is compared with those trained by patterns from manual selection and multiple random selections to demonstrate its robustness on pattern coverage. Tachyon Hierarchical OPC+ (HScan+) is used to apply Newron SRAF at full-chip level in order to keep consistency and increase speed. Full-chip simulation results from Newron SRAF are compared with the baseline OPC flow using RBSRAF and MB-SRAF. The Newron SRAF flow shows significant improvements in NILS and PV band over the baseline flows. This whole flow including APS, Newron SRAF and full-chip HScan+ OPC enables the inverse mask optimization on full-chip level to achieve superior mask performance with production-affordable TAT.
Various computational approaches from rule-based to model-based methods exist to place Sub-Resolution Assist Features (SRAF) in order to increase process window for lithography. Each method has its advantages and drawbacks, and typically requires the user to make a trade-off between time of development, accuracy, consistency and cycle time.
Rule-based methods, used since the 90 nm node, require long development time and struggle to achieve good process window performance for complex patterns. Heuristically driven, their development is often iterative and involves significant engineering time from multiple disciplines (Litho, OPC and DTCO).
Model-based approaches have been widely adopted since the 20 nm node. While the development of model-driven placement methods is relatively straightforward, they often become computationally expensive when high accuracy is required. Furthermore these methods tend to yield less consistent SRAFs due to the nature of the approach: they rely on a model which is sensitive to the pattern placement on the native simulation grid, and can be impacted by such related grid dependency effects. Those undesirable effects tend to become stronger when more iterations or complexity are needed in the algorithm to achieve required accuracy.
ASML Brion has developed a new SRAF placement technique on the Tachyon platform that is assisted by machine learning and significantly improves the accuracy of full chip SRAF placement while keeping consistency and runtime under control. A Deep Convolutional Neural Network (DCNN) is trained using the target wafer layout and corresponding Continuous Transmission Mask (CTM) images. These CTM images have been fully optimized using the Tachyon inverse mask optimization engine. The neural network generated SRAF guidance map is then used to place SRAF on full-chip. This is different from our existing full-chip MB-SRAF approach which utilizes a SRAF guidance map (SGM) of mask sensitivity to improve the contrast of optical image at the target pattern edges.
In this paper, we demonstrate that machine learning assisted SRAF placement can achieve a superior process window compared to the SGM model-based SRAF method, while keeping the full-chip runtime affordable, and maintain consistency of SRAF placement . We describe the current status of this machine learning assisted SRAF technique and demonstrate its application to full chip mask synthesis and discuss how it can extend the computational lithography roadmap.
Strong resist shrinkage effects have been widely observed in resist profiles after negative tone development (NTD) and therefore must be taken into account in computational lithography applications. However, existing lithography simulation tools, especially those designed for full-chip applications, lack resist shrinkage modeling capabilities because they are not needed until only recently when NTD processes begin to replace the conventional positive tone development (PTD) processes where resist shrinkage effects are negligible. In this work we describe the development of a physical resist shrinkage (PRS) model for full-chip lithography simulations and present its accuracy evaluation against experimental data.
Kaustuve Bhattacharyya, Arie den Boef, Martin Jak, Gary Zhang, Martijn Maassen, Robin Tijssen, Omer Adam, Andreas Fuchs, Youping Zhang, Jacky Huang, Vincent Couraudon, Wilson Tzeng, Eason Su, Cathy Wang, Jim Kavanagh, Christophe Fouquet
KEYWORDS: Overlay metrology, Metrology, Time metrology, Target acquisition, Semiconducting wafers, Target detection, Etching, Back end of line, Scanners, Process control
High-end semiconductor lithography requirements for CD, focus and overlay control drive the need for diffraction-based metrology1,2,3,4 and integrated metrology5. In the advanced nodes, more complex lithography techniques (such as multiple patterning), use of multi-layer overlay measurements in process control, advanced device designs (such as advanced FinFET), as well as advanced materials (like hardmasks) are introduced. These pose new challenges for lithometro cycle time, cost, process control and metrology accuracy. In this publication a holistic approach is taken to face these challenges via a novel target design, a brand new implementation of multi-layer overlay measurement capability in diffraction-based mode and integrated metrology.
Early in a semiconductor node’s process development cycle, the technology definition is locked down using somewhat risky assumptions on what the process can deliver once it matures. In this early phase of the development cycle, detailed design rules start to be codified while the wafer patterning process is still being fine-tuned. As the process moves along the development cycle, and wafer processes are dialed-in, key yield improvement efforts focus on variability reduction. Design retargeting definitions are tweaked and finalized, and the use of finely tuned etch models to compensate for process bias are applied to accurately capture the more mature wafer process. The resulting mature patterning process is quite different from the one developed during the early stages of the technology definition. In this paper we describe an approach and flow to drive continuous improvement in the mask solution (OPC and MBSRAF) later in the process development and production readiness cycle stage. First, we establish the process window entitlement within the design-space by utilizing advanced mask optimization (MO) combined with the baseline process (i.e., model, etch compensation, and design retargeting). Second, gaps to the entitlement are used to identify and target issues with the existing OPC recipe and to drive continuous improvements to close these performance gaps across the critical design rules. We demonstrate this flow on a 20 nm contact layer.
In order to meet current and future node overlay, CD and focus requirements, metrology and process control performance need to be continuously improved. In addition, more complex lithography techniques, such as double patterning, advanced device designs, such as FinFET, as well as advanced materials like hardmasks, pose new challenges for metrology and process control. In this publication several systematic steps are taken to face these challenges.
Two different pattern curing techniques were developed to stabilize first lithographic images for the single-etch double
patterning process. The first method uses a surface curing agent (SCA) that is coated on top of the patterned surface to
form a protective coating layer during the curing bake process. It was found that the surface curing process with SCA
offers minimum CD changes before and after the double patterning process. Virtually no CD change was observed with
the first lithographic images at various curing bake temperatures ranging from 120 ~160°C indicating the curing reaction
is limited on the patterned surface. The second method uses a thermal cure resist (TCR) that is a special 193nm
photoresist with a crosslinkable functional group to form an insoluble network upon heating at higher temperature. A
single-step curing process of the first lithographic images was achieved using TCR by baking the patterned images at
180°C for 60sec. A cross-line contact hole double patterning method was used to evaluate these two different curing
techniques and both SCA and TCR successfully demonstrated their capability to print 45nm contact holes with excellent
CD uniformity in immersion lithography (1.35NA) with a 45nm half pitch mask. It was also confirmed that both SCA
and TCR can be extended to the top-coat free immersion double patterning process using an embedded barrier layer
technique.
The work shown in this paper examines the effect of single and dual BARC on reflectivity at 1.35 NA using reflectivity simulations, coupled with process windows and swing curves, to gauge the effectiveness of reflection control for various BARCs on wafer. The BARC refractive index should be determined by the application, which in this case is the word line gate layer for 40 nm Flash memory. The materials required for best reflection control depends upon the film stack beneath it and the illumination used. An additional constraint is the thickness of the BARC film being scaled to thinner values as required by the future scaling of resist critical dimensions. Results from using two single layer BARCs and a dual organic BARC show what impact reflectivity has on various performances for gates in the center and edge of the array.
Resist trimming is a technique that is often used to close the gap between line widths which can be
repeatedly printed with currently available lithography tools and the desired transistor gate length. For
the 65-nm node, the resist line width delivered at pattern is between 60 to 70 nm while the final transistor
gate length is usually targeted between 35 to 45 nm. The 15 to 35 nm critical dimension (CD) difference
can be bridged by resist trimming. Due to the stringent gate CD budget, a resist trimming process should
ideally have the following characteristics: i) no degradation in CD uniformity; ii) no damage in pattern
fidelity; iii) controllable CD trim rate with good linearity; and iv) no degradation in line edge roughness
(LER) or line width roughness (LWR).
Unfortunately, a realistic resist trimming process is never perfect. In particular, resist consumption and
the resultant internal stress build-up during resist trimming can lead to resist line bending. The effect of
bent resist lines is a higher post-etch CD and significantly degraded local CD uniformity (LCDU).
In order to reduce resist bending CD errors (defined as the difference between the post-etch CD and the
design CD due to resist bending after trimming) several useful procedures either in layout or in processes
are presented. These procedures include: i) symmetrically aligning gates to contact pads and field
connecting poly in the circuit layout; ii) enlarging the distance between contact pad (or field connecting
poly) to active area within the limits of the design rules (DR) and silicon real estate; iii) adding assist
features to the layout within the DR limits; iv) minimizing resist thickness; and v) applying special plasma
cure before resist trim.
Pushing optical microlithography towards the 32nm node requires hyper-NA immersion optics in combination with advanced illumination, polarization, and mask technologies. Novel approaches in model-based optical proximity correction (OPC) and sub-resolution assist feature (SRAF) optimization are required to not only produce correct feature shapes at the nominal process condition but also to maintain edge placement tolerances within spec limits under process variations in order to ensure a finite process window. In addition, it is becoming increasingly important to consider interactions between multiple layers when performing correction in order to ensure electrical viability. In this paper we discuss the application of a model based process-window-aware and interlayer-aware integrated OPC system on 32nm node patterns. Process window awareness will be demonstrated for main feature correction by taking into account image-based modeling at multiple defocus and dose conditions. In addition, interlayer-awareness will be demonstrated by correction that takes into account the effects of active width on gate CD and of contact overlap with metal, gate, and active. The results show an improvement over "non-aware" OPC in gate CD control, in contact overlap, and in overall process margin. In addition, PW aware correction is demonstrated to prevent potential catastrophic failures at extreme PW conditions.
It is widely understood that the IC Industry's adherence to Moore's Law is widening the gap
between the wavelength of light used in semiconductor manufacturing and the features that they
define. Increasingly, the patterning community has turned to higher complexity imaging solutions to
fill the gap. This steadily increasing complexity is placing a new burden on lithographers and
resolution enhancement technology engineers to guarantee that the highly complex patterning
strategies will work for all patterns. Traditionally, lithography strategies have been characterized
using relatively simple one-dimensional "litho test patterns." Real circuits are highly randomized
however, and complex two-dimensional interactions are the rule rather than the exception.
This paper extends the paradigm for use of newly available post-OPC verification (POV) technology
to the realm of RET development. We offer a case study where two competing 65-nm logic node
sub-resolution assist feature (SRAF) strategies for poly layer patterning are evaluated on a full chip
using commercially available post-OPC verification technology. We are able to evaluate differences
in CD control process window, SRAF printability (illustrated in Figure 1), MEEF sensitivity, and
catastrophic defect propensity. In several critical cases, we show silicon confirmation of the
simulated results. This methodology allows leveraging of existing full-chip POV technology to
enable the selection of the best SRAF strategy with minimal use of costly split lot silicon.
How to effectively control the critical dimension (CD) is always a hot topic in photolithography. In 65nm node using phase shift mask (PSM) techniques, any factors related to CD variations should not be ignored without full investigation due to the ever-decreasing CD budget. In this paper, we focus on the local CD variation (LCDV) at the gate level within an area of 200μm x 200μm printed on a 193nm exposure tool. In contrast with AWLV (across wafer line variation) and ACLV (across chip line variation), the more localized LCDV implies that it is more dependent on the following three major factors: i) local wafer flatness mainly dominated by STI (shallow trench isolation) steps after CMP (chemical mechanical polishing); ii) effectiveness of OPC (optical proximity correction) covering all transistors with different geometrical shapes in circuit layout and iii) line edge roughness (LER) and line width roughness (LWR) related to photo and etch processes. Although OPC errors, LER and LWR are also very important, the current discussion will be limited in characterizing the relationship between LCDV and STI step-height (S-H) due to the length limitation. The STI S-H between the active surface and the trench oxide surface always exists due to the different material selectivity in the CMP process. The major gate CD influences from STI S-H are strongly correlated to the different geometrical shapes of transistors in circuits, such as single/multi-finger, wide/narrow, interior/exterior-flare and etc. According to our experiments and simulations from both alt-PSM (alternating PSM) and att-PSM (attenuating PSM) processes, the following important conclusions can be derived. a) The gate CDs in two PSM processes show different sensitivities to STI S-Hs in different geometrical shapes of transistors in circuit layout. The alt-PSM process is more sensitive than the att-PSM, especially for isolate gates. This is a shortcoming for the alt-PSM process in effectively controlling the LCDV. b) STI S-H usually makes the CD larger in both PSM processes, especially for the isolated gates in the alt-PSM process. From our observations, it is generally true that the narrower the transistor width, the higher the gate CD will be. However, CD variation trends in the att-PSM process are not so explicit as observed with alt-PSM. c) One should be very careful when trying to improve the CD uniformity by reducing STI step-height by using a blanket etch back because OPC errors are tightly combined with STI step-heights. d) Improving the STI S-H uniformity is always welcome because it will improve the AWLV. e) The narrow isolated gate is the best CD feature to monitor the interaction of AWLV with STI S-H uniformity.
An automated aberration extraction method is presented which allows extraction of lithographic projection lens' aberration signature having only access to object (mask) and image (wafer) planes. Using phase-wheel targets on a two-level 0/π phase shift mask, images with high sensitivity to aberrations are produced. Zernike aberration coefficients up to 9th order have been extracted by inspection of photoresist images captured via top-down SEM. The automated measurement procedure solves a multi-dimensional optimization problem using numerical methods and demonstrates improved accuracy and minimal cross-correlation. Starting with a detailed procedure analysis, recent experimental results for 193-nm projection optics in commercial full field exposure tools are discussed with an emphasis on the performance of the aberration measurement approach.
KEYWORDS: Semiconducting wafers, Sensors, Chemical mechanical planarization, Scanners, Back end of line, Monochromatic aberrations, Calibration, Process control, Front end of line, Etching
The understanding of focus variation across a wafer is crucial to CD control (both ACLV and AWLV) and pattern fidelity on the wafer and chip levels. This is particularly true for the 65nm node and beyond, where focus margin is shrinking with the design rules, and is turning out to be one of the key process variables that directly impact the device yield. A technique based on the Phase-Shift Focus Monitor (PSFM) is developed to measure realistic across-wafer focus errors on materials processed in actual production flows. With this technique, we are able to extract detailed across-wafer focus performance at critical pattern levels from the front end of line (FEOL) all the way through the back end of line (BEOL). Typically, more than 8,000 data points are measured across a wafer, and the data are decomposed into an intra-field focus map, which captures the across chip focus variation (ACFV), and an inter-field focus map, which describes the across wafer focus variation (AWFV). ACFV and AWFV are then analyzed to understand various components in the overall focus error, including; across slit lens image field, reticle shape and dynamic scan components, local wafer flatness, wafer processing effect, pattern density, and edge die abnormality. The intra-field ACFV lens component is compared with TI's ScatterLith and ASML's FOCAL techniques. Results are consistent with the predictions based on the on-board lens aberration data. Inter-field AWFV is the most interesting, due to lack of detailed understanding of the process impact on scanner focus and leveling. PSFM data is used to characterize the effect of wafer processing such as etch, deposition, and CMP on across wafer focus control. Comparison and correlation of PSFM focus mapping with the wafer height and residual moving average (MA) maps generated by the scanner's optical leveling sensors shows a good match in general. Process induced focus errors are clearly observed on wafers of significant film stack variation and/or pattern density variation. Implications on total focus control and depth of focus (DOF) requirements for 65nm mass production are discussed in this paper using a quantitative pattern yield model. The same technique can be extended to immersion lithography.
Among the valid gate pattern strategies for the 65nm technology node, att-PSM offers the advantage in cost and mask complexity over other contenders such as complimentary alt-PSM and chromeless phase lithography (CPL). A combination of Quasar illumination and sub-resolution assist features (SRAFs) provides a through pitch solution with a common depth of focus (DOF) better than 0.25um to support the aggressive scaling in both logic and high density SRAM. A global mask-source optimization scheme is adopted to explore the multi-dimensional space of process parameters and define the best overall solution that includes scanner optics such as NA and illumination, and SRAF placement rules for 1-dimensional line and space patterns through the full pitch range. Gate pattern capabilities in terms of DOF, exposure latitude, mask error enhancement factor (MEEF), optical proximity correction (OPC), CD control, and aberration sensitivity are reported in this paper. Conflict resolution and placement optimization are key to the success of implementation of SRAF to the complex 2-dimensional layouts of random logic. Reasonable CD control can be achieved based on the characterization and simulation of CD variations at different spatial and processing domains from local to across chip, across wafer, wafer-to-wafer, and lot-to-lot. Certain layout restrictions are needed for high performance devices which require a much tighter gate CD distribution. Scanner optimization and enhancement such as DoseMapper are key enablers for such aggressive CD control. The benefits, challenges, and possible extensions of this particular approach are discussed in comparison with other techniques.
KEYWORDS: Critical dimension metrology, Atomic force microscopy, Scanning electron microscopy, Line width roughness, Calibration, Metrology, Semiconductors, 3D metrology, Transmission electron microscopy, Semiconducting wafers
The International Technology Roadmap for Semiconductors (ITRS) predicts that atomic force microscopy (AFM) will become an in-line metrology tool starting at the 65 nm technology node. Others argue that AFM is not suitable beyond the 65 nm node due to probe size limitations. This presentation examines the current state of AFM in semiconductor technology development and manufacturing. The following AFM applications are reviewed: post chemical mechanical polishing (post-CMP) and post reactive ion etching (post-RIE) topography measurements, critical dimension (CD) scanning electron microscopy (SEM) and optical scatterometry (OCD) calibration and long-term accuracy monitoring, across integrated circuit (IC) CD bias measurements (OCD lines vs. real circuit), optical proximity correction (OPC) modeling verification, non-destructive 3D metrology (resist, gate, sidewall offsets, holes and trenches). This current state is contrasted with upcoming requirements, benefits and limitations of metrology tools. The topics include the following: an application specific analysis of AFM limitations, the merits and limitations of transmission electron microscopy (TEM) as reference technique for AFM, CD SEM and OCD, the impact of sample-to-sample bias variation on total measurement uncertainty of TEM, CD SEM, OCD and AFM, the unique role of AFM in establishing across CD metrology correlation and accuracy, and need for a new type of intelligent in-line CD metrology tools, which would combine the merits of OCD, CD SEM and AFM.
Gate CD control is crucial to transistor fabrication for advanced technology nodes at and beyond 65 nm. ACLV (across chip linewidth variation) has been identified as a major contributor to overall CD budget for low k1 lithography. In this paper, we present a detailed characterization of ACLV performance on the latest ASML scanner using Texas Instruments proprietary scatterometer based lens fingerprinting technique (ScatterLith). We are able to decompose a complex ACLV signature including patterns placed in both vertical and horizontal directions and trace the CD errors back to various scanner components such as lens aberrations, illumination source shape, dynamic image field, and scan synchronization. Lithography simulation plays an important role in bringing together the wafer and tool metrology for direct correlation and providing a quantitative understanding of pattern sensitivity to lens and illuminator errors for a particular process setup. A new ACLV characterization methodology is enabled by combining wafer metrology ScattereLith, scanner metrology and lithography simulation. Implementation of this methodology improves tool-to-tool matching and control on ACLV and V-H bias across multiple scanners to meet tight yield and speed requirements for advanced chip manufacturing.
Pattern specific illuminator optimization is a key component in developing low k1 lithography solutions that utilize off-axis illumination schemes. Aerial image metrics such as NILS (normalized image log slope) have been used in the past to select the optimal illuminator source shape that yields the largest process margin such as DOF. A more practical and process orientated approach is presented in this paper with resist also included in the optimization scheme. Here pupil fill calculation is based on the actual process metrics such as DOF at certain exposure latitude, mask error enhancement factor (MEEF), mask bias (OPC), and CD uniformity (ACLV). A comparison is made with the conventional aerial image based approach. Examples are given to illustrate the advantages of the resist simulation based optimization scheme and its potential application in global process optimization by using a common, universal set of process metrics. This makes it possible to search for the optimal scanner optics settings through simulation techniques over a parameter space with many degrees of freedom, which is difficult to explore simply with limited empirical data collection. As a result, resist based illumination source optimization dramatically reduces the process development cycle, particularly for low k1 critical patterns.
Lens spherical error is an important lens aberration used to characterize lens quality and also has a significant contribution to across chip line width variation (ACLV). It also impacts tool-to-tool matching efforts especially when the optical lithography approaches sub-half wavelength geometry. Traditionally, spherical error is measured by using CD SEM with known drawbacks of poor accuracy and long cycle time. At Texas Instruments, an in-house scatterometer-based lens fingerprinting technique (ScatterLith) performs this tedious job accurately and quickly. This paper presents across slit spherical aberration signatures for ArF scanners collected using this method. The technique can successfully correlate these signatures with Litel lens aberration data and Nikon OCD data for spherical aberration errors as small as 10mλ. ACLV contributions from such small spherical errors can be quantified using this method. This provides the lithographer with an important tool to evaluate, qualify and match advanced scanners to improve across chip line width variation control.
As IC density shrinks based on Moore’s law, optical lithography continually is scaled to print ever-smaller features by using resolution enhancement techniques such as phase shift mask, optical proximity correction (OPC), off-axis illumination and sub-resolution assistant features. OPC has been playing a key role to maximize the overlapping process window through pitch in the sub-wavelength optical lithography. As an important cost control measure, one general OPC model is applied to the full exposure field across multiple scanners. To implement this technique, optical proximity matching of line width across the field and across multiple tools turns out to be very crucial particularly at gate pattern. In addition, it is very important to obtain reliable critical dimension (CD) data sets with low noise level and high accuracy from the metrology tool. Otherwise, extracting the real scanner fingerprint in term of CD can not be achieved with precision in the order of 1nm~2nm. Scatterometry CD measurements have demonstrated excellent results to overcome this problem. The methodology of Scatterometry is emerging as one of the best metrology tool candidates in terms of gate line width control for technology nodes beyond 130nm.
This paper investigates the sources of error that consume the CD budget of optical proximity matching for line through pitch (LTP). The study focuses on the 130nm technology node and uses experimental data and Prolith resist vector model based simulations. Scatterometer CD measurements of LTP are used for the first time and effectively correlated to lens aberrations and effective partial coherence (EPC) measurements which were extracted by Litel In-situ Interferometer (ISI) and Source Metrology Instrument (SMI). Implications of optical proximity matching are also discussed for future technology nodes. From the results, the paper also demonstrates the efficacy of scatterometer line through pitch measurements for OPC characterization.
The ability to accurately, quickly and automatically fingerprint the lenses of advanced lithography scanners has always been a dream for lithographers. This is truly necessary to understand error sources of ACLV, especially when the optical lithography is pushed into 130 nm regimes and beyond. This dream has become a reality at Texas Instruments with the help of scatterometry. This paper describes the development and characterization of the scatterometer based scanner lens testing technique (ScatterLith) and its application in 193 nm and 248 nm scanner lens fingerprinting. The entire procedure includes a full field exposure through focus in a micro stepping mode, scatterometer measurement of focus matrix, image field analysis and mapping of lens curvature, astigmatism, spherical aberration, line-through pitch analysis and ACLV analysis (i.e. across chip line width variation). ACLV has been directly correlated with image field deviation, lens aberration and illumination source errors. Examples are given to illustrate its applications in accurate focus monitoring with enhanced capability of dynamic image field and lens signature mapping for the latest ArF and KrF scanners used in manufacturing environment for 130nm node and beyond. Analysis of CD variation across a full scanner field is done through a step-by-step image field correction procedure. ACLV contribution of each image field error can be quantified separately. The final across slit CD signature is further analyzed against possible errors from illumination uniformity, illumination pupil fill, and higher order projection lens aberrations. High accuracy and short cycle time make this new technique a very effective tool for in-line real time monitoring and scanner qualification. Its fingerprinting capability also provides lithography engineers a comprehensive understanding of scanner performance for CD control and tool matching. Its extendibility to 90nm and beyond is particularly attractive for future development and manufacturing requirements.
A detailed characterization of across chip line width variation (ACLV) has been carried out on the latest Nikon scanners with a combination of advanced metrology techniques in Texas Instruments, including scatterometer-based image field and CD fingerprinting, lens aberrations measurement using a Litel in-situ interferometer, and illumination source imaging with a pin-hole camera. This paper describes the application of the above techniques in our investigation of the root causes for pattern CD bias between vertical and horizontal features. Illumination source radiance distribution is found sometimes to have a significant impact on V-H bias and the final overall ACLV on production wafers. Examples are given to demonstrate a comprehensive methodology that is used to quantitatively break down the overall CD errors and correlate them back to the basic optical and imaging components. It is shown through pupil-gram analysis that the ellipticity in partial coherence is typically within 1+/-1% for conventional illuminations settings on the advanced Nikon scanners while the uneven radiance distribution across the source plays a major role in V-H pattern CD bias. For scanners with low and uniform lens coma aberrations, the V-H bias after removing the contribution from image field errors is found to follow a linear relationship with the source radiance non-uniformity described also in terms of ellipticity. It is shown that radiance ellipticity is a bigger concern for off-axis illuminators. Tighter design rules patterned with off-axis illumination are more vulnerable to source radiance non-uniformity as well as lens aberrations. Illuminator induced V-H bias across the slit is compared to the signature caused by lens aberrations specifically uneven x,y-coma. Implications to exposure tool specification, control, and matching are further explored through experiments and lithography simulation for the current 130nm production and the future technology nodes in development.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.