Open Access
2 August 2023 Model-free measurement of lateral recess in gate-all-around transistors with micro hard-X-ray fluorescence
Janusz Bogdanowicz, Yusuke Oniki, Karine Kenis, Pallavi Puttarame Gowda, Hans Mertens, Basel Shamieh, Yonatan Leon, Matthew Wormington, Juliette Van der Meer, Anne-Laure Charley
Author Affiliations +
Abstract

The processing of gate-all-around (GAA) Si transistors requires several isolated and vertically stacked nanometer-thick Si sheets or wires. For this purpose, the sacrificial SiGe layers of a SiGe/Si superlattice are etched selectively and laterally. Controlling the quantity of etched SiGe material, i.e., the so-called SiGe cavity depth, is critical for optimal device performance. Unfortunately, this critical dimension can only be measured by time-consuming cross-sectional transmission electron microscopy (TEM), which results in limited statistics and hence insufficient control of the cavity depth across wafers and batches. This paper evaluates the capabilities of micro hard x-ray fluorescence (μHXRF) for the determination of cavity depth as a fast and non-destructive alternative to TEM. As we show, μHXRF provides cavity depth values in excellent agreement with TEM. In addition, two critical advantages of the technique demonstrated here are that, thanks to the very high energy of the incoming and emitted X-rays, the SiGe volume is extracted without requiring any complex model and without any correlation to other geometrical parameters of the complex GAA device.

1.

Introduction

Novel gate-all-around (GAA) devices, such as nanosheet, forksheet, and ultimately complementary field-effect transistors (FETs), are due to replace today’s finFETs as they offer both an enhanced electrostatic control and a reduced footprint.1 Common to the processing of these different devices is the selective lateral recess, also called cavity etch, of the SiGe layers of a vertical SiGe/Si superlattice, as an initial and critical step to isolate the future vertically stacked Si channels.2,3 The depth of these cavities is of paramount importance as it defines the dimensions of the inner spacer and hence the final gate capacitance.4 However, this critical dimension (CD) can today only be imaged by cross-sectional electron microscopy. Besides being time-consuming and destructive, this type of metrology only offers a very limited sampling, e.g., across a wafer. Optical CD (OCD) scatterometry is also emerging as a potential fast metrology technique for cavity depth measurement.59 However, this technique is model-based and suffers from potential correlations between the numerous geometrical parameters present in a complex 3D GAA device.

In this work, we demonstrate the capabilities of micro hard X-ray fluorescence (μHXRF) for fast inline SiGe volume and average cavity depth measurements on samples etched by a gas-phase isotropic dry etch process.3,10 This paper starts by describing the basics of the extraction of geometrical information from μHXRF measurements in Sec. 2. Section 3 then gives general information about the tool and samples used in this paper. Section 4 demonstrates experimentally that μHXRF allows fast model-free measurement of the cavity depth on so-called short-loop GAA samples, i.e., samples with a two-dimensional geometry. Finally, in Sec. 5, we show that this technique can also measure the SiGe volume in fully three-dimensional GAA samples.

We conclude that, beyond the accuracy of the technique, its critical value is that it is model- and correlation-free. We indeed show that the technique linearly and solely senses the SiGe volume probed by the beam. Of paramount importance, it is not sensitive to the thickness or the material of the coating on top of the SiGe/Si multilayer, including the fully formed gate stack. This gives μHXRF a critical advantage over OCD and other spectroscopic techniques also evaluated for this purpose.5

2.

Fundamentals

X-ray fluorescence (XRF) is a widely used technique for fast and non-destructive compositional analysis.11 It is based on the excitation of the core electrons of the elements constituting the sample with an X-ray beam. As the excited elements relax, they emit secondary X-rays with specific energies and with an intensity proportional to the number of excited atoms of this species illuminated by the incident beam. This essentially makes XRF an atom counting technique and hence a commonly used technique for composition and thickness measurements.1113 Assuming a sub-micrometer SiGe layer excited over a surface area Abeam by an X-ray beam, its thickness tSiGe can indeed simply be derived from the emitted XRF signal intensity IGe1D at a Ge emission line, i.e.,

Eq. (1)

IGe1D=σGeρGeatVSiGe=σGeρGeatAbeamtSiGe,
where σGe is a constant representing the emission probability; ρGeat is the atomic density of Ge in the SiGe layer which depends, e.g., on the Ge concentration of the layer; and VSiGe is the total excited volume of SiGe. Note that the linearity of the signal intensity of Eq. (1) with respect to tSiGe is only accurate in the thin-film approximation, i.e., if tSiGe is very small compared to the penetration depth of the incoming X-ray beam,11 which is always verified in our GAA devices.14

The volume measurement capabilities of XRF expressed by Eq. (1) can also be leveraged to extend the technique towards measurements of lateral dimensions, such as the SiGe cavity depth of GAA devices. In the case of a GAA sample patterned along one single direction, i.e., a periodic array of lines, Eq. (1) has to be modified to account for the absence of SiGe in between the lines, i.e.,

Eq. (2)

IGe2D=σGeρGeatVSiGe=σGeρGeatAbeamtSiGeCDP,
where CD is the width of the patterned SiGe lines, possibly after lateral etch, and P is their spatial periodicity. Note that typical GAA samples contain more than one SiGe layer in which case Eq. (2) still holds but tSiGe represents the sum of all SiGe layer thicknesses and CD the average width of the different SiGe layers. Equation (2) will be used in Sec. 4.

For more complex three-dimensional samples fully representative of a GAA device, the device is patterned along the two lateral x and y directions (see, e.g., Sec. 5). Eq. (2) then must be further modified to account for this, i.e.,

Eq. (3)

IGe3D=σGeρGeatVSiGe=σGeρGeatAbeamtSiGeCDxPxCDyPy,
where CDx (respectively CDy) and Px (respectively Py) are respectively the width and pitch of the periodic array along the x (respectively y) direction.

Equations (1)–(3) embody the capabilities of XRF to extract geometrical information along different directions from the emitted intensity of a specific fluorescence peak. Two important comments must be made to ensure the accuracy of the measurement using these equations. First, the species of interest, e.g., Ge in the case of this paper, should only be present in the object of interest. For example, the technique could not be used in GAA samples with SiGe source/drain material as this would provide a second source of fluorescence at the same energy and hence invalidate the above equations. Second, in theory, these equations are only valid for exposed SiGe layers, i.e., thin layers located at the top surface of the sample. In the case of buried layers such as in GAA devices (see, e.g., Figs. 1, 4, and 5 below), absorption of the incident X-rays and self-absorption of the emitted X-rays can lead to the underestimation of the extracted volume by a factor exp(αcoatingincidenttcoating)×exp(αcoatingemittedtcoating), where αcoatingincident (respectively αcoatingemitted) is the absorption coefficient of the incident (respectively emitted) X-rays in the material covering the SiGe layers.11 To minimize this effect, this paper uses a hard X-ray source and a hard emitted XRF line, i.e., respectively Mo Kα (17.5 keV14) and Ge Kα (9.8 keV14). In such a case, the combined effects of absorption of the incident and exit X-rays is <0.1% for a thickness tcoating=200  nm of all the coating materials considered in this paper, i.e., SiO2, Si3N4 (Sec. 4), and Si14 (Sec. 5). Interestingly, these coatings would need to be at least 1.5μm thick to cause a 1% underestimation of the SiGe volume, which shows how powerful μHXRF is for measurements of deeply buried features. Conversely, if instead the lower-energy Ge Lα fluorescence peak were used (1.2 keV14), it would lead to >10% underestimation of the SiGe volume under a 200 nm thick coating. The use of a tender X-ray source such as proposed in Refs. 15 and 16 would lead to an additional error of similar magnitude. In other words, the use of hard X-rays is highly beneficial to ensure the accurate volume measurement of the objects buried under thick coatings without the need for complex modeling and are therefore highly recommended in the context of process control for development and manufacturing of GAA devices. To emphasize this aspect, this paper only uses hard X-rays and hence refers to the technique as μHXRF rather than simply μXRF.

Fig. 1

(a) Top-down scanning electron micrograph (SEM) of the short-loop nanosheet samples consisting of periodic arrays of fins with CD100  nm, pitch=420  nm, and a total length of 250  μm. (b) Cross-sectional transmission electron micrographs of the short-loop nanosheet samples, including a six-layer Si75Ge25/Si superlattice covered with a SiO2/SiN/SiO2 hard mask. The depth of the cavities ranges from 0 to 28.7 nm from samples 1 to 4.

JM3_22_3_034001_f001.png

3.

Experimental

In this paper, we have made use of the Bruker Sirius-RF,17 which is a fully automated inline platform with μXRF metrology head. The tool can measure full 300 mm wafers and features two XRF channels with different sources to cover a wide range of elements with optimal efficiency. Each channel contains a microfocus X-ray tube, focusing polycapillary optic at normal incidence to the wafer and four detectors positioned at a 45 deg takeoff angle relative to the wafer surface.18 For all the measurements presented in this paper, a Mo Kα source was used. The Ge volume was quantified using the Ge Kα peak intensity with an integration time of 60 s and included background subtraction.19 The measurements were run on arrays with a periodic repetition of the device of interest. The dimension of the arrays was large enough to accommodate the incident X-ray beam, with an approximate full width at half maximum of 25  μm and is thus suitable for product wafer metrology.

4.

Measurement of Cavity Depth of Short-Loop Nanosheet Samples

In this section, we demonstrate the capability of μHXRF to measure the cavity depth in samples with a quasi-2D geometry, i.e., arrays of long fins, buried under a thick hard mask. For this purpose, we have processed four identical short-loop nanosheet samples (Fig. 1). These samples consist of an array of 100-nm wide fins comprising a six-layer Si75Ge25/Si superlattice covered with a 100-nm thick SiO2/SiN/SiO2 hard mask. The nominal thicknesses of the different layers in the superlattice are all of 9 nm. The samples were etched by a gas-phase isotropic dry etch process3,10 for four different times, leading to cavity depths ranging from 0 to 28.7 nm as illustrated in Fig. 1(b). Note that these samples are defined as short-loop because, although they do allow to study the impact of a varying cavity depth on the HXRF signal, their elongated two-dimensional geometry is not fully representative of an actual GAA device.

The Ge Kα fluorescence peaks measured on these four samples are shown in the inset of Fig. 2, where it can be observed that the peak intensity monotonically drops as the SiGe is etched into deeper cavities. Assuming all four samples have identical Ge concentration, tSiGe and lateral dimension CD0 prior to lateral etch, the average SiGe cavity depth dcavity on each side of the line can be extracted using Eq. (2). Taking the ratio of the measured Ge Kα signal intensity I on a sample with lateral SiGe recess [i.e., samples 2 to 4 in Fig 1(b)] to the signal intensity Iref measured on the reference unetched sample [i.e., sample 1 in Fig. 1(b)], we indeed readily obtain

Eq. (4)

dcavity=(1IIref)CD02.

Fig. 2

Cavity depth obtained from μHXRF using Eq. (4) (blue diamonds) and Eq. (5) (green circles), respectively versus reference TEM metrology. Inset: measured drop in Ge Kα peak intensity with longer SiGe etch on the four short-loop nanosheet samples of Fig. 1.

JM3_22_3_034001_f002.png

As observed in Fig. 2, the cavity depth values obtained using Eq. (4) (blue diamonds) are in very good agreement with our reference TEM measurements (dashed line). However, a small overestimation of the cavity depth is obtained (2  nm), which could be explained, e.g., by a reference sample with a slightly thicker SiGe layer or higher Ge concentration or a narrower CD0 compared to the other samples.

This inaccuracy can be partly mitigated by relaxing some of the assumptions needed to derive Eq. (4). To account for possible variations in Ge content and tSiGe, each μHXRF signal intensity I on patterned samples can be combined with an extra μHXRF measurement on a neighboring blanket, i.e., unpatterned, pad. Taking the ratio of Eq. (2) on the patterned sample to Eq. (1) on the neighboring blanket pad, we obtain

Eq. (5)

dcavity=(1I/IblanketIref/Irefblanket)CD02,
which allows to effectively limit the impact of differences between the reference sample and the samples under study. As shown in Fig. 2, Eq. (5) indeed leads to a better agreement with TEM (green circles). A slight overestimation (1  nm) of the cavity depth by μHXRF is, however, still noted and could be due to, e.g., a narrower CD0 in the reference sample. This shows that, even using Eq. (5), extreme care must be taken in the processing of the reference sample, which should be as close as possible to the target samples. Note that, to avoid this problem, another more elegant approach would have been to use reference-free XRF.20,21 This, however, requires the use of synchrotron source and is therefore less applicable in an industrial context.

As a final note, we would like to mention that the high accuracy of the technique demonstrated in Fig. 2 relies heavily on the use of hard X-rays both on the incident beam and the emitted signal. As explained theoretically in Sec. 2, the use of hard X-rays in this paper allows to minimize the absorption in the hard mask. To confirm this statement experimentally, we compare in Fig. 3 the μHXRF measurements on identical samples with and without hard mask. It can be observed that the measured Ge Kα intensity is insensitive to the presence of a hard mask (within <1%) both on the patterned nanosheet sample and on the neighboring blanket pad. Not only does this allow the good accuracy demonstrated in Fig. 2 but this also prevents any geometrical correlation with the thickness of the hard mask, which is another critical advantage of the technique.

Fig. 3

Ge Kα intensities measured on samples with and without a hard mask. Whether patterned short loop nanosheet (a) or unpatterned blanket pad (b), the presence of a hard mask has no impact on the signal intensity.

JM3_22_3_034001_f003.png

5.

Measurement of SiGe Volume of Full-Loop Forksheet Samples

In the previous section, we demonstrated the capabilities of μHXRF for cavity depth measurements on short-loop nanosheet samples with a simplified quasi-2D geometry. This section extends the study towards full-loop samples, i.e., with a 3D geometry fully representative of GAA transistors. The complex geometry of the full-loop forksheet samples studied in this section is shown in Fig. 4. Most critically for this work, these nanoobjects are now fully three-dimensional as they are patterned in both x and y directions [Fig. 4(a)], with CDx=CDgate35  nm (respectively CDy=CDFS55  nm) and Px=90  nm (respectively Py=90  nm). Furthermore, the SiGe/Si multilayer is here covered with a 150-nm thick amorphous Si gate and SiN gate spacer [Figs. 4(b) and 4(c)]. Finally, of less importance for this work, these devices are forksheet transistors, i.e., advanced GAA transistors where the NMOS and PMOS devices are put in close proximity and separated by just a thin SiN wall22 [Fig. 4(b)].

Fig. 4

Geometry of the full-loop forksheet samples. (a) Top-down SEM of the samples. The vertical lines are the gates and the horizontal lines are the fins and SiN walls. (b) Cross-sectional HAADF-TEM micrograph taken along the gate, i.e., perpendicular to the X direction (vertical cut in panel (a). The forksheets are visible as well as the SiN wall separating the future n- and p-MOS. Note that this image was taken before gate processing and that the approximate shape of the gate was drawn for clarity (c) Cross-sectional HAADF-TEM micrograph taken across gates, i.e., perpendicular to the Y direction [horizontal cut in panel (a)]. The 150  nm tall dummy gate can be observed covering the SiGe/Si multilayer.

JM3_22_3_034001_f004.png

The μHXRF measurements on five full-loop forksheet wafers with different SiGe etch times are shown in Fig. 5. As can be observed, the Ge Kα intensity expectedly scales linearly with the SiGe volume in these samples. This linear correlation demonstrates that, just like for the short-loop nanosheet samples of Sec. 4, μHXRF is a technique well suited to tracking SiGe volume, and hence the cavity depth, also in complex 3D devices. Compared to TEM, the short measurement time allows wafer mapping of the SiGe volume as exemplified in the 71-point wafer map of the Ge Kα intensity measured on the sample prior to lateral etch (top inset of Fig. 5). A representative cross-sectional high-angle annular dark field TEM (HAADF-TEM) micrograph taken in the central die of each wafer is provided in the bottom inset of Fig. 5. There were three HAADF-TEM micrographs taken per wafer, the locations of which are indicated by the crosses in the top inset of Fig. 5.

Fig. 5

Correlation between the Ge Kα intensity measured on the full-loop forksheet samples and the SiGe volume in these samples. Top inset: 71-point map of the Ge Kα intensity on the sample before lateral etch. The three crosses represent the positions where HAADF-TEM was measured on each wafer. Bottom inset: cross-section HAADF-TEM micrographs of the device in the direction across the gates (center die). The progressive recess of SiGe as the etch time increases can be observed.

JM3_22_3_034001_f005.png

Note that Eq. (3) could normally have been used to extract the cavity depth from μHXRF, exactly as was done in Sec. 4 for short-loop nanosheet samples with Eq. (2). Unfortunately, the thicker top SiGe layer of the samples of Fig. 4 was etched faster than the two bottom layers due to a microloading effect23 (see, e.g., inset of Fig. 5). The cavity depth is therefore different in the top and the two bottom SiGe layers. Since μHXRF provides one single measurement value, only an average cavity depth can be extracted. We thus rather show the correlation to the SiGe volume, which was calculated as ASiGe×(CDFSCDwall), where the surface area of SiGe ASiGe in the (x,z) plane was obtained from the HAADF-TEM and the forksheet width CDFS and wall width CDwall were obtained from top-down SEM before gate patterning (not shown). Note that, if the device had three layers with identical thicknesses, an actual cavity depth could have been provided by μHXRF. On the other hand, when the structure contains SiGe layers with different etch rates, e.g., due to various thicknesses or Ge contents, μHXRF will always only provide an average value of the cavity depth or the total SiGe volume.

6.

Conclusion

This paper shows that, by extending the atom-counting capabilities of μHXRF from blanket to patterned samples, this technique can be used for fast, accurate, and precise average SiGe cavity depth measurements in GAA devices. On short-loop nanosheet samples, the technique proved to be able to extract cavity depth with 1-nm accuracy. It was furthermore proven experimentally that the measurements are independent from the presence or absence of a hard mask on top of the SiGe layers, which is one of the critical advantages of using hard X-rays only. On full-loop forksheet samples, the technique was able to track the SiGe volume under a 150-nm thick amorphous-Si gate with excellent correlation to the reference metrology combining TEM and SEM.

We conclude that, beyond the demonstrated accuracy of the technique, the critical value of μHXRF is that it is model-free and correlation-free. Thanks to the very deep penetration of hard X-rays in matter, the technique indeed linearly and uniquely senses the SiGe volume probed by the incident beam. This makes the technique insensitive to the thickness or the material of the coating on top of the SiGe/Si multilayer, including the gate structure. We believe that these strengths make μHXRF a very valuable technique for fast mapping of cavity depth for inline metrology and process control.

Availability of Data

The data that support the findings of this study are available from the corresponding author upon reasonable request.

Acknowledgments

The authors would like to thank S. Schoofs (imec) for the Scanning Electron Microscopy measurements as well as the MCASA team (imec) for the TEM measurements. This project has received funding from the ECSEL Joint Undertaking (JU) (Grant No. 875999). The JU receives support from the European Union’s Horizon 2020 research and innovation programme and Netherlands, Belgium, Germany, France, Austria, Hungary, United Kingdom, Romania, and Israel

References

1. 

N. Horiguchi and Z. Tokei, “A view on the logic technology roadmap,” Semicond. Today, 16 98 –100 (2021). Google Scholar

2. 

N Loubet et al., “A novel dry selective etch of SiGe for the enablement of high performance logic stacked gate-all-around nanosheet devices,” in IEDM Tech. Dig., 242 (2019). Google Scholar

3. 

Y. Oniki, E. Altamirano-Sánchez and F. Holsteyns, “(Invited) Selective etches for Gate-All-Around (GAA) device integration: opportunities and challenges,” ECS Trans., 92 3 https://doi.org/10.1149/09202.0003ecst 1938-5862 (2019). Google Scholar

4. 

A. Veloso et al., “(Keynote) Gate-all-around nanowire & nanosheet FETs for advanced, Ultra-scaled technologies,” ECS Trans., 97 (5), 3 –14 https://doi.org/10.1149/09705.0003ecst 1938-5862 (2020). Google Scholar

5. 

J. Bogdanowicz et al., “Spectroscopy: a new route towards critical-dimension metrology of the cavity etch of nanosheet transistors,” Proc. SPIE, 11611 116111Q https://doi.org/10.1117/12.2581800 PSISDG 0277-786X (2021). Google Scholar

6. 

A.-L. Charley et al., “Scatterometry for gate all around (GAA) technology enablement,” Proc. SPIE, 10585 1058505 PSISDG 0277-786X (2018). Google Scholar

7. 

D. Schmidt et al., “OCD enhanced: implementation and validation of spectral interferometry for nanosheet inner spacer indentation,” Proc. SPIE, 11611 116111U https://doi.org/10.1117/12.2582364 PSISDG 0277-786X (2021). Google Scholar

8. 

M. Korde et al., “Nondestructive characterization of nanoscale subsurface features fabricated by selective etching of multilayered nanowire test structures using Mueller matrix spectroscopic ellipsometry based scatterometry,” J. Vac. Sci. Technol. B, 38 024007 https://doi.org/10.1116/1.5136291 JVTBD9 1071-1023 (2020). Google Scholar

9. 

M. Korde et al., “X-ray metrology of nanowire/nanosheet FETs for advanced technology nodes,” Proc. SPIE, 11325 113250W https://doi.org/10.1117/12.2553371 PSISDG 0277-786X (2020). Google Scholar

10. 

S. Kal et al., “Enabling complimentary FET (CFET) fabrication: selective, isotropic etch of Group IV semiconductors (Conference Presentation),” Proc. SPIE, 10963 109630L https://doi.org/10.1117/12.2514741 PSISDG 0277-786X (2019). Google Scholar

11. 

B. Beckhoff et al., Handbook of Practical X-Ray Fluorescence Analysis, Springer( (2006). Google Scholar

12. 

D. Agnihotri, in Int. Conf. Characterization and Metrol. for USLI Technol., (2005). Google Scholar

13. 

D. Agnihotri, J. O’Dell, I. Mazor and B. Yokhin, “Accurate measurement of layer dimensions using XRF,” (2008). Google Scholar

14. 

B. L. Henke, E. M. Gullikson and J. C. Davis, “X-ray interactions: photoabsorption, scattering, transmission, and reflection at E = 50-30,000 eV, Z = 1-92,” At. Data Nucl. Data Tables, 54 181 –342 https://doi.org/10.1006/adnd.1993.1013 ADNDAT 0092-640X (1993). Google Scholar

15. 

M. Breton et al., “Review of nanosheet metrology opportunities for technology readiness,” J. Micro/Nanopatterning Mater. Metrol., 21 021206 https://doi.org/10.1117/1.JMM.21.2.021206 (2022). Google Scholar

16. 

D. Schmidt et al., “Development of SiGe indentation process control for gate-all-around FET technology enablement,” IEEE Trans. Semicond. Manuf., 35 412 –417 https://doi.org/10.1109/TSM.2022.3168585 ITSMED 0894-6507 (2022). Google Scholar

18. 

L. Vu, “X-ray microanalyzer for thin films,” (1999). Google Scholar

19. 

P. Van Espen, Handbook of X-Ray Spectrometry, 2nd ed.CRC Press( (2001). Google Scholar

20. 

M. Kolbe et al., “Thickness determination for Cu and Ni nanolayers: comparison of completely reference-free fundamental parameter-based X-ray fluorescence analysis and X-ray reflectometry,” Spectrochim. Acta Part B: At. Spectrosc., 60 505 –510 https://doi.org/10.1016/j.sab.2005.03.018 (2005). Google Scholar

21. 

A. Wählisch et al., “Quantitative element-sensitive analysis of individual nanoobjects,” Small, 19 2204943 https://doi.org/10.1002/smll.202204943 SMALBC 1613-6810 (2023). Google Scholar

22. 

H. Mertens et al., “Forksheet FETs for advanced CMOS scaling: forksheet-nanosheet co-integration and dual work function metal gates at 17 nm N-P space,” (2021). Google Scholar

23. 

Y. Muraki et al., “Highly selective isotropic chemical dry etching for gate-all-around devices: nanosheet, forksheet and complementary FETs,” Proc. SPIE, PC12056 PSISDG 0277-786X (2022). Google Scholar

Biographies of the authors are not available.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 International License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Janusz Bogdanowicz, Yusuke Oniki, Karine Kenis, Pallavi Puttarame Gowda, Hans Mertens, Basel Shamieh, Yonatan Leon, Matthew Wormington, Juliette Van der Meer, and Anne-Laure Charley "Model-free measurement of lateral recess in gate-all-around transistors with micro hard-X-ray fluorescence," Journal of Micro/Nanopatterning, Materials, and Metrology 22(3), 034001 (2 August 2023). https://doi.org/10.1117/1.JMM.22.3.034001
Received: 2 June 2023; Accepted: 6 July 2023; Published: 2 August 2023
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications and 1 patent.
Advertisement
Advertisement
KEYWORDS
Germanium

Gallium arsenide

Transmission electron microscopy

Nanosheets

Semiconducting wafers

Etching

Silicon

Back to Top