Open Access Paper
20 March 2015 Front Matter: Volume 9425
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 9425, including the Title Page, Copyright information, Table of Contents, Authors, Introduction (if any), and Conference Committee listing.

The papers included in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. The papers published in these proceedings reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from this book:

Author(s), “Title of Paper,” in Advances in Patterning Materials and Processes XXXII, edited by Thomas I. Wallow, Christoph K. Hohle, Proceedings of SPIE Vol. 9425 (SPIE, Bellingham, WA, 2015) Article CID Number.

ISSN: 0277-786X

ISBN: 9781628415278

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) • Fax +1 360 647 1445

SPIE.org

Copyright © 2015, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/15/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00001_psisdg9425_942502_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print. Papers are published as they are submitted and meet publication criteria. A unique citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a six-digit CID article numbering system in which:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc.

The CID Number appears on each page of the manuscript. The complete citation is used on the first page, and an abbreviated version on subsequent pages.

Authors

Numbers in the index correspond to the last two digits of the six-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first four digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B...0Z, followed by 10-1Z, 20-2Z, etc.

Aissou, K., 0Z

Alkemade, Paul, 13

Anderson, Jeremy T., 0S

Ann, Chia, 0B

Arai, Masatoshi, 0U

Arellano, Noel, 0P

Asai, Masaya, 0G

Ashby, Paul, 0I

Balakrishnan, Srinivasan, 0P

Baranowski, Paul, 1X

Baskaran, Durairaj, 0P

Bekaert, Joost, 0Y

Bell, William K., 1D

Biafore, John J., 07

Bowers, Amy N., 0P

Brainard, Robert L., 0T

Brewer, Alex J., 19

Brochon, C., 0Z

Brown, Alan G., 0L

Buch, X., 1Y

Budden, Matthias, 0E

Cao, Yi, 0X, 18

Chan, Boon Teik, 0N, 0R, 0X, 16

Chandhok, Manish, 0O

Chao, Weilun, 0I

Chauhan, Vikrant, 0B

Chen, Fong, 1Z

Cheng, Joy Y., 0P

Chevalier, X., 0Z, 1N

Cho, David, 0B

Cho, JoonYeon, 18

Chuang, Vivian, 1X

Chun, Jun Sung, 0A, 0C, 1S, 26

Chunder, Anindarupa, 0P

Clark, Benjamin L., 0S, 1A

Clark, Michael B., 1X

Cloutet, E., 0Z

Cooke, Mike, 0E

Cummings, Kevin, 26

Cutler, Charlotte, 1X

DeKraker, David, 1A

Delgadillo, Paulina R., 0R

Del Re, Ryan, 0T

de Marneffe, Jean- François,0E

de Schepper, Peter, 07, 0S

De Simone, Danilo, 0S, 16

Dhuey, Scott, 0I

Dick, Andrew R., 1D

Dioses, Alberto D., 18

Doise, Jan, 0Y

Dojun, Makiko, 0Q

Dousharm, Levi, 0T

Dürig, Urs, 0E

D'Urzo, L., 1J, 1Y

Echigo, Masatoshi, 1L

Edson, Joseph, 0S

Ekinci, Yasin, 04, 0T

el Otell, Ziad, 0E, 0N

Elineni, Kranthi Kumar, 0O

Enomoto, Masashi, 1A

Erenturk, Burcin, 0B

Fan, Yu-Jen, 26

Farrell, Richard, 1A

Fernandez-Regulez, M., 0Z

Fleury, G., 0Z, 1N

Fliervoet, Timon, 0K

Fokkema, Vincent, 0E

Foubert, P., 1J

Fralick, Ashten, 1S

Freedman, Daniel A., 0T

Friz, Alexander M., 0P

Frommhold, Andreas, 04, 0L

Fujii, Tatsuya, 0U

Fujimori, Toru, 05

Fujita, Mitsuhiro, 09, 0F

Furukawa, Kikuo, 1R

Furutani, Hajime, 09

Gaikwad, Ashish, 0O

Ganta, Lakshmi K., 0B

Gao, Tao, 1Z

Gharbi, A., 1N

Giglia, Angelo, 07

Goto, Akiyoshi, 09

Graves, Trey, 0Y

Greer, Michael, 0S, 1A

Grenville, Andrew, 0S, 1A

Gronheid, Roel, 0N, 0R, 0W, 0X, 0Y

Gstrein, Florian, 0O, 16

Hadziioannou, G., 0Z, 1N

Han, Eungnak, 0O

Hanabata, Makoto, 1P, 1V

Hansen, Terje, 07

Hara, Arisa, 02, 0D, 14

Harumoto, Masahiko, 0G

Henderson, Clifford L., 0A, 0C, 1S

Her, YoungJun, 0W, 18

Hetzer, David, 1A, 26

Higgins, Craig, 0B

Hirahara, Eri, 0P

Hirayama, Taku, 0U, 17

Hong, SungEun, 0P

Horiba, Yuko, 20

Hoshiko, Kenji, 07

Hsu, Ray, 1T

Hu, Shan, 1A

Huang, Wen Liang, 1T

Huang, Yu Chin, 1T

Huli, Lior, 1A, 26

Iguchi, Naoya, 21

Iijima, Etsuo, 0Q

Iliopoulos, I., 1N

Im, Kwang-Hwyi, 1T

Inoue, Naoki, 09

Itani, Toshiro, 05, 06, 08, 1I

Iwaki, Hiroyuki, 0Q

Iwao, Fumiko, 0Q

Jen, Shih-Hui, 26

Jeon, Hyun K., 1T

Jeong, EunJeong, 0P

Jiang, Kai, 0S

Kaestner, Marcus, 0E

Kalhor, Nima, 13

Kamimura, Sou, 24

Kamizono, Takashi, 0U

Kaneyama, Koji, 0G

Kashiwakura, Miki, 1P

Kato, Keita, 24

Kawakami, Shinichiro, 0Q, 1A

Kawana, Daisuke, 0U

Kawaue, Akiya, 0U, 17

Kim, Jihoon, 0P, 0W

Kimura, Tooru, 22

Kinuta, Takafumi, 20

Kitano, Takahiro, 0Q

Knaepen, Werner, 0N

Knoll, Armin, 0E

Knops, Roel, 0K

Kocsis, Michael, 0S, 1A

Koh, Hui Peng, 0B

Kohl, Paul A., 1D

Koike, Kyohei, 02, 0D, 14

Kolb, Tristan, 0E

Komuro, Yoshitaka, 0U, 17

Kozawa, Takahiro, 1P, 1R

Krivoshapkina, Yana, 0E

Kulshreshtha, Prashant, 0I

Kuo, Chien Wen, 1T

Kurosawa, Tsuyoshi, 17

Kuwahara, Yuhei, 16

Lada, Tom, 0L

Lauerhaas, Jeffrey, 1A

Law, Alman, 19

Lawson, Richard A., 0A, 0C, 1S

Leeson, Michael J., 16

Leonard, JoAnne, 1X

Li, Bifeng, 1Z

Li, Mingqi, 1X

Lim, Hae Jin, 1T

Lin, Chia Hung, 1T

Lin, Guanyang, 0P, 0W

Lin, Jeff, 1T

Lin, Yeh-Sheng, 1T

Liu, Chi-Chun, 0P

Liu, Cong, 1X

Liu, Lian Cong, 1T

Liu, Yansong, 1Z

Liu, Zhimin, 1Z

Lofano, Elizabeth, 0P

Lorusso, Gian F., 0K

Luke, Brendan, 1D

Maas, Diederik, 13

Mack, Chris A., 0J

Maehashi, Takaya, 17

Maes, Jan Willem, 0N

Maines, Erin, 1D

Makinoshima, Takashi, 1L

Man, Naoki, 1H, 22

Mani, Antonio, 07

Mao, Ming, 0K

Maruyama, Ken, 0I

Marzook, Taisir, 0R

Matsumaru, Shogo, 0U

Matsumiya, Tasuku, 17

Matsumoto, Hiroie, 1A, 26

Matsumoto, Yoko, 1H

Matsunaga, Koichi, 1A

Matsuzawa, Kensuke, 1Q

Mattia, Joe, 1X

McClelland, Alexandra, 04

McKenzie, Douglas, 18

Mehta, Sohan S., 0B

Mesch, Ryan, 1Q

Metz, Andrew, 1A, 26

Meyers, Stephen T., 0S

Minegishi, Shinya, 1I

Miyagi, Ken, 17

Miyagi, Tadashi, 0G

Miyamoto, Yoshihiro, 20

Mizusaka, Tetsuhiko, 1R

Mochida, Kenji, 22

Montgomery, Cecilia, 26

Montgomery, Warren, 26

Moore, John C., 19

Morita, Hiroshi, 10

Mueller, Brennan, 1D

Mulckhuyse, Wouter, 13

Mullen, Salem, 18

Mumtaz, M., 0Z

Muramatsu, Makoto, 0Q

Murphy, Michael, 0T

Myers, Alan M., 0O

Nafus, Kathleen, 0Q, 16

Nagahara, Seiji, 0Q

Nagahara, Tatsuro, 20

Nakamura, Shinichi, 22

Nakano, Takeo, 0Q

Narcross, Hannah, 0A, 0C, 1S

Natori, Sakurako, 02, 0D, 14

Navarro, C., 0Z, 1N

Nealey, Paul, 0W

Neisser, Mark, 0A, 0C, 0T, 1S, 26

Neuber, Christian, 0E

Ng, Edward, 0P

Nguyen, Khanh, 0P

Nicolet, C., 0Z, 1N

Nishi, Takanori, 0Q

Norizoe, Yuki, 10

Nyhus, Paul A., 0O

O'Connell, Kathleen, 1X

Ohmori, Katsumi, 0U, 17

Okabe, Noriaki, 02, 0D, 14

Okada, Soichiro, 0Q

Olah, Michael, 1Q

Olynick, Deirdre, 0I

Onishi, Ryuji, 12

Oshima, Akihiro, 1P

Ou, Keiyu, 24

Ou, Keiyu, 24

Oyama, Kenichi, 02, 0D, 14

Padmanaban, Munirathna, 18

Palmer, Richard E., 04, 0L

Parnell, Doni, 0Q, 0X

Passarelli, James, 0T

Paunescu, Margareta, 0P

Petermann, Claire, 18

Pettit, Jared M., 19

Peyre, Jean-Luc, 0Q

Phillips, Scott T., 1Q

Pieczulewski, Charles, 0G

Pimenta-Barros, P., 0Z, 1N

Polishchuk, Orest, 0P

Rahman, Dalil, 18

Rangelow, Ivo W., 0E

Rastogi, Vinayak, 0Q, 26

Rathsack, Benjamen, 0Q

Ratkovich, Anthony, 1A

Rawlings, Brandon, 1D

Rawlings, Colin, 0E

Reijnen, Liesbeth, 0K

Rettner, Charles T., 0P

Ringk, Andreas, 0E

Rispens, Gijsbert, 0K

Robertson, Stewart, 0Y

Robinson, Alex P. G., 04, 0L

Romo Negreira, Ainhoa, 0Q

Rosamund, Mark C., 04

Roth, John, 04

Sagan, John, 20

Saito, Takashi, 1A, 26

Sakai, Kazunori, 22

Sakamoto, Rikimaru, 12

Sanchez, Efrain A., 0R

Sanders, Daniel P., 0P

Santillan, Julius Joseph, 06

Sato, Takashi, 1L

Sayan, Safak, 0N, 0R, 16

Schedl, Andreas, 0E

Schmidt, Hans-Werner, 0E

Schollaert, W. 1Y

Seidel, Robert, 0W

Seki, Hirofumi, 22

Sekiguchi, Atsushi, 1H

Sekito, Takashi, 20

Setu, Praveen K., 0O

Shan, Jianhui, 0P

Sharp, Brandon, 0A, 0C, 1S

Shichiri, Motoharu, 06

Shigaki, Shuhei, 12

Shirakawa, Michihiro, 09, 0F, 24

Singh, Arjun, 0N, 0R, 0X, 16

Singh, Paramjit, 0B

Singh, Sunil, 0B

Smith, Mark, 0Y

Somervell, Mark, 0Q

Sortland, Miriam, 0T

Srivastava, Ravi, 0B

Stokes, Harold, 0G, 1J, 1Y

Stowers, Jason K., 0S

Strohriegl, Peter, 0E

Su, Xiaojing, 1Z

Su, Yajuan, 1Z

Subramany, Lokesh, 0B

Suzuki, Akihiro, 1L

Suzuki, Issei, 17

Suzuki, Kenta, 0U

Suzuki, Yasuhiro, 1T

Tagawa, Seiichi, 1P

Takasuka, Masaaki, 1L

Takeda, Masaaki, 22

Takei, Satoshi, 1M, 1P, 1V

Tanagi, Hiroyuki, 1R

Tanaka, Hiroyasu, 1R

Tanaka, Yuji, 0G

Tao, Zheng, 16

Tarutani, Shinji, 20

Telecky, Alan J., 0S

Thouroude, Y., 1J, 1Y

Tiron, R., 0Z, 1N

Tjio, Melia, 0P

Tobana, Toshikatsu, 0Q

Toida, Takumi, 1L

Tolbert, Laren M., 0A, 0C, 1S

Tomita, Tadatoshi, 0Q

Toriumi, Minoru, 08

Trefonas, Peter, 1X

Tronic, Tristan A., 0O

Tsai, Hsinyu, 0P

Tsuchihashi, Toru, 05

Tsuzuki, Shuichi, 21

Uchiyama, Naoya, 1L

Umeda, Toru, 21

Vaglio Pret, Alessandro, 07, 0Y

Vandenberghe, Geert, 0S, 16

Vandenbroeck, Nadia, 0R

van Veghel, Marijn G. A., 0E

Viatkina, Katja, 0K

Vockenhuber, Michaela, 0T

Vora, Ankit, 0P

Wallace, Charles H., 0O

Wan, I-Yuan, 1T

Wang, Deyan, 1X

Wang, Wade, 1Q

Wei, Yayi, 1Z

Williamson, Lance, 0W

Williams, William, 1X

Willson, C. Grant, 1D, 1Q

Wolfer, Elizabeth, 18

Wong, Sabrina, 1X

Wu, Aiwen, 1J

Wu, Hengpeng, 0X

Wu, Yixu, 0B

Xia, A., 1J

Xu, Cheng Bai, 1T, 1X

Yaegashi, Hidetami, 02, 0D, 14

Yahagi, Masahito, 17

Yamada, Shintaro, 1X

Yamaguchi, Shuhei, 0F

Yamamoto, Hiroki, 1R

Yamamoto, Kei, 09, 24

Yamanaka, Tsukasa, 21

Yamano, Hitoshi, 17

Yamato, Masatoshi, 02, 0D, 14

Yamauchi, Shouhei, 02, 0D, 14

Yamauchi, Takashi, 0Q

Yamazaki, Hiroto, 0U

Yang, Dongxu, 04

Yao, Huirong, 18

Yatsuda, Kochi, 0Q

Yeh, Tsung Ju, 1T

Yin, Jian, 0X

Yoshida, Jun-ichi, 0G

Yoshikawa, Kazuhiro, 22

Younkin, Todd R., 0O

Yu, Chun Chi, 1T

Zhang, Wei, 1Z

Ziegler, Dominik, 0I

Conference Committee

  • Symposium Chair

    • Mircea V. Dusa, ASML US, Inc. (United States)

  • Symposium Co-chair

    • Bruce W. Smith, Rochester Institute of Technology (United States)

  • Conference Chair

    • Thomas I. Wallow, ASML Brion Technologies (United States)

  • Conference Co-chair

    • Christoph K. Hohle, Fraunhofer Institute for Photonic Microsystems (Germany)

  • Conference Program Committee

    • Robert Allen, IBM Research - Almaden (United States)

    • Ramakrishnan Ayothi, JSR Micro, Inc. (United States)

    • Luisa D. Bozano, IBM Research - Almaden (United States)

    • Sean D. Burns, IBM Corporation (United States)

    • Ralph R. Dammel, EMD Performance Materials Corporation (United States)

    • Roel Gronheid, IMEC (Belgium)

    • Douglas Guerrero, Brewer Science, Inc. (United States)

    • Clifford L. Henderson, Georgia Institute of Technology (United States) Scott W. Jessen, Texas Instruments Inc. (United States)

    • Yoshio Kawai, Shin-Etsu Chemical Company, Ltd. (Japan) Qinghuang Lin, IBM Thomas J. Watson Research Center (United States)

    • Nobuyuki N. Matsuzawa, Sony Corporation (Japan)

    • Katsumi Ohmori, Tokyo Ohka Kogyo Company, Ltd. (Japan)

    • Daniel P. Sanders, IBM Research - Almaden (United States)

    • Mark H. Somervell, Tokyo Electron America, Inc. (United States) James W. Thackeray, Dow Electronic Materials (United States) Plamen Tzviatkov, FUJIFILM Electronic Materials U.S.A., Inc. (United States)

    • Todd R. Younkin, Intel Corporation (Belgium)

Session Chairs

  • 1 Keynote Session

    • Christoph K. Hohle, Fraunhofer Institute for Photonic Microsystems (Germany)

  • 2 EUV Resist Extendability: Joint Session with Conferences 9422 and 9425

    • Robert L. Brainard, College of Nanoscale Science and Engineering (United States)

    • James W. Thackeray, Dow Electronic Materials (United States)

  • 3 EUV Resist Mechanistic Studies: Joint Session with Conferences 9422 and 9425

    • John J. Biafore, KLA-Tencor Texas (United States)

    • Robert Allen, IBM Research - Almaden (United States)

  • 4 4 Negative Tone Materials

    • Yoshio Kawai, Shin-Etsu Chemical Company, Ltd. (Japan)

    • Clifford L. Henderson, Georgia Institute of Technology (United States)

  • 5 Resist and Process Fundamentals

    • Ralph R. Dammel, EMD Performance Materials Corporation (United States)

    • Robert Allen, IBM Research - Almaden (United States)

  • 6 Patterning Materials and Etch: Joint Session with Conferences 9425 and 9428

    • Qinghuang Lin, IBM Thomas J. Watson Research Center (United States)

    • Douglas Guerrero, Brewer Science, Inc. (United States)

  • 7 Materials and Etch in Emerging Technologies: Joint Session with Conferences 9425 and 9428

    • Christoph K. Hohle, Fraunhofer Institute for Photonic Microsystems (Germany)

    • Qinghuang Lin, IBM Thomas J. Watson Research Center (United States)

  • 8 DSA Materials and Processes I: Joint Session with Conferences 9425 and 9423

    • Juan J. de Pablo, The University of Chicago (United States)

    • Roel Gronheid, IMEC (Belgium)

  • 9 DSA Materials and Processes II: Joint Session with Conferences 9425 and 9423

    • Todd R. Younkin, Intel Corporation (United States)

    • Sean D. Burns, IBM Corporation (United States)

  • 10 EUV Resists and Processes

    • Katsumi Ohmori, Tokyo Ohka Kogyo Company, Ltd. (Japan)

    • Ramakrishnan Ayothi, JSR Micro, Inc. (United States)

  • 11 DSA Materials and New Concepts

    • Mark H. Somervell, Tokyo Electron America, Inc. (United States)

    • Daniel P. Sanders, IBM Research - Almaden (United States)

  • 12 New Patterning Processes

    • Ralph R. Dammel, EMD Performance Materials Corporation (United States)

    • Scott W. Jessen, Texas Instruments Inc. (United States)

  • 13 Materials and Process Engineering

    • Thomas I. Wallow, ASML Brion Technologies (United States)

    • Clifford L. Henderson, Georgia Institute of Technology (United States)

© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 9425", Proc. SPIE 9425, Advances in Patterning Materials and Processes XXXII, 942501 (20 March 2015); https://doi.org/10.1117/12.2193847
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photoresist processing

Extreme ultraviolet lithography

Directed self assembly

Optical lithography

Double patterning technology

Materials processing

Photoresist developing

Back to Top