Paper
18 April 2013 Mueller based scatterometry measurement of nanoscale structures with anisotropic in-plane optical properties
Gangadhara R. Muthinti, Manasa Medikonda, Jody Fronheiser, Vimal K. Kamineni, Brennan Peterson, Joseph Race, Alain C. Diebold
Author Affiliations +
Abstract
The uses of strained channel became prevalent at the 65 nm node and have continued to be a large part of logic device performance improvements in every technology generation. These material and integration innovations will continue to be important in sub-22nm devices, and are already being applied in finFET devices where total available in-channel strains are potentially higher. The measurement of structures containing these materials is complicated by the intrinsic correlation of the measured optical thickness and variation of optical properties with strain, as well as the dramatic reduction in total volume of the device. Optical scatterometry has enabled characterization of the feature shape and dimensions of complex 3D structures, including non-planar transistors and memory structures. Ellipsometric methods have been successfully applied to the measurement of thin films of SiGe and related strained structures. A direction for research is validating that the thin film stress results can be extended into the much more physically complex 3D shape. There are clear challenges in this: the stress in a SiGe fin is constrained to match the underlying Si along one axis, but the sides and top are free, leading to very large strain gradients both along the fin width and height. Practical utilization of optical techniques as a development tool is often limited by the complexity of the scatterometry model and setup, and this added material complexity presents a new challenge. In this study, generalized spectroscopic ellipsometric measurements of strained grating was undertaken, in parallel with reference cross sectional and top down SEM data. The measurements were modeled for both anisotropy calculations, as well as full scatterometry calculations, fitting the strain and structure. The degree to which strain and CD can be quickly quantified in an optical model is discussed. Sum decomposition method has been implemented to extract the effective anisotropic coefficients and a discussion on the effect of anisotropy toward modeling is presented. Finally, errors in the scatterometry measurement are analyzed, and the relative strengths and limitations of these optical measurements compared.
© (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Gangadhara R. Muthinti, Manasa Medikonda, Jody Fronheiser, Vimal K. Kamineni, Brennan Peterson, Joseph Race, and Alain C. Diebold "Mueller based scatterometry measurement of nanoscale structures with anisotropic in-plane optical properties", Proc. SPIE 8681, Metrology, Inspection, and Process Control for Microlithography XXVII, 86810M (18 April 2013); https://doi.org/10.1117/12.2011649
Lens.org Logo
CITATIONS
Cited by 13 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Scatterometry

Optical properties

Silicon

Anisotropy

3D modeling

Thin films

3D metrology

Back to Top