Paper
8 November 2012 Effect of radiation exposure on the surface adhesion of Ru-capped MoSi multilayer blanks
Göksel Durkaya, Abbas Rastegar, Hüseyin Kurtuldu
Author Affiliations +
Abstract
Better understanding of the effect of radiation on defectivity is essential to improve the stability of Ru-capped MoSi multilayer blanks. In this work, the effect of radiation exposure on the surface adhesion properties of Ru-capped MoSi multilayers was studied using optical radiation (172 nm, 532 nm, and 1064 nm). Regardless of wavelength, the surface adhesion of defects increases when exposed to radiation and scales with laser power. Changes in adhesion are compared to surface roughness. For different wavelengths, chemical modification of the surface and optical absorption of defects exhibit different contributions.
© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Göksel Durkaya, Abbas Rastegar, and Hüseyin Kurtuldu "Effect of radiation exposure on the surface adhesion of Ru-capped MoSi multilayer blanks", Proc. SPIE 8522, Photomask Technology 2012, 852214 (8 November 2012); https://doi.org/10.1117/12.976060
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Particles

Radiation effects

Ruthenium

Surface roughness

Extreme ultraviolet

Photomasks

Extreme ultraviolet lithography

Back to Top