Paper
3 April 2012 A scatterometry-based CD uniformity control solution for Spacer Patterning Technology
Jongsu Lee, Chang Moon Lim, Chan-Ho Ryu, Myoungsoo Kim, Hyosang Kang, Hugo Cramer, Noelle Wright, Birgitt Hepp, Liesbeth van Reijnen, Hans van der Laan, Maryana Escalante Marun, Peter ten Berge
Author Affiliations +
Abstract
Improving Critical Dimension Uniformity (CDU) for spacer double patterning features is a high priority for double patterning technology. In spacer double patterning the gaps between the spacers are established through various processes (litho, etch, deposition) with different process fingerprints and the CDU improvement of these gaps requires an improved control solution. Such a control solution is built upon two pillars: metrology and a control strategy. In this paper Spacer Patterning Technology CDU control using an angle resolved scatterometry tool is evaluated. CD results obtained with this scatterometer on CDU wafers are measured and the results are correlated with those from the traditional CD-SEM. CD wafer fingerprints are compared before and after applying the advanced control strategy and CDU improvements are reported. Based on the results it is concluded that scatterometry qualifies for a spacer process CDU control loop in a manufacturing environment.
© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Jongsu Lee, Chang Moon Lim, Chan-Ho Ryu, Myoungsoo Kim, Hyosang Kang, Hugo Cramer, Noelle Wright, Birgitt Hepp, Liesbeth van Reijnen, Hans van der Laan, Maryana Escalante Marun, and Peter ten Berge "A scatterometry-based CD uniformity control solution for Spacer Patterning Technology", Proc. SPIE 8324, Metrology, Inspection, and Process Control for Microlithography XXVI, 83241V (3 April 2012); https://doi.org/10.1117/12.917824
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Critical dimension metrology

Optical lithography

Double patterning technology

Deposition processes

Process control

Scatterometry

Semiconducting wafers

Back to Top