Paper
20 April 2011 Advancements of diffraction-based overlay metrology for double patterning
Jie Li, Oleg Kritsun, Yongdong Liu, Prasad Dasari, Ulrich Weher, Catherine Volkman, Martin Mazur, Jiangtao Hu
Author Affiliations +
Abstract
As the dimensions of integrated circuit continue to shrink, diffraction based overlay (DBO) technologies have been developed to address the tighter overlay control challenges. Previously data of high accuracy and high precision were reported for litho-etch-litho-etch double patterning (DP) process using normal incidence spectroscopic reflectometry on specially designed targets composed of 1D gratings in x and y directions. Two measurement methods, empirical algorithm (eDBO) using four pads per direction (2x4 target) and modeling based algorithm (mDBO) using two pads per direction (2x2 target) were performed. In this work, we apply DBO techniques to measure overlay errors for a different DP process, litho-freeze-litho-etch process. We explore the possibility of further reducing number of pads in a DBO target using mDBO. For standard targets composed of 1D gratings, we reported results for eDBO 2x4 targets, mDBO 2x2 targets, and mDBO 2x1 target. The results of all three types of targets are comparable in terms of accuracy, dynamic precision, and TIS. TMU (not including tool matching) is less than 0.1nm. In addition, we investigated the possibility of measuring overlay with one single pad that contains 2D gratings. We achieved good correlation to blossom measurements. TMU (not including tool matching) is ~ 0.2nm. To our best knowledge, this is the first time that DBO results are reported on a single pad. eDBO allows quick recipe setup but takes more space and measurement time. Although mDBO needs details of optical properties and modeling, it offers smaller total target size and much faster throughput, which is important in high volume manufacturing environment.
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Jie Li, Oleg Kritsun, Yongdong Liu, Prasad Dasari, Ulrich Weher, Catherine Volkman, Martin Mazur, and Jiangtao Hu "Advancements of diffraction-based overlay metrology for double patterning", Proc. SPIE 7971, Metrology, Inspection, and Process Control for Microlithography XXV, 79711Y (20 April 2011); https://doi.org/10.1117/12.879552
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Overlay metrology

Double patterning technology

Reflectance spectroscopy

Detection and tracking algorithms

Semiconducting wafers

Time metrology

Diffraction

Back to Top