Paper
5 April 2007 Effect and procedures of post exposure bake temperature optimization on the CD uniformity in a mass production environment
Author Affiliations +
Abstract
Controlling a very tight CD budget in Photolithography is one of the challenges of the next technology nodes. The Post Exposure Bake (PEB) process is known as one of the main Litho contributors to CD non-uniformity for processes using resists with moderate or high PEB sensitivity. However, to achieve a good CD uniformity plate to plate (PtP) and within plate (WiP) - the current temperature calibration procedures of PEB plates will not be sufficient enough to fulfil the requirements of future technology nodes. TEL's CD Optimizer - which is a software integrated to the Coater / Developer using a mathematical model based on scatterometry CD data and the PEB sensitivity of the resist - allows an accurate PtP and WiP CD uniformity adjustment. Compared to the conventional time consuming temperature calibration procedures the CD Optimizer can improve the CD uniformity significantly - and it saves lots of productive time. This method already has been confirmed by using bare Si wafers [1]. We will show for the first time the effect of the CD optimization on the CD uniformity of production wafer in a high-volume 300mm DRAM FAB. We did analyse CD mass production data obtained from Integrated Metrology (IM) scatterometry measurements before and after optimization of the PEB plates. We can also show that it is possible to use IM mass production data for the PEB temperature optimization directly.
© (2007) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Kirsten Ruck, Heiko Weichert, Steffen Hornig, Frank Finger, Göran Fleischer, and Dave Hetzer "Effect and procedures of post exposure bake temperature optimization on the CD uniformity in a mass production environment", Proc. SPIE 6518, Metrology, Inspection, and Process Control for Microlithography XXI, 651850 (5 April 2007); https://doi.org/10.1117/12.712145
Lens.org Logo
CITATIONS
Cited by 7 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Silicon

Calibration

Scatterometry

Metrology

Wafer testing

Critical dimension metrology

Back to Top