Paper
14 May 2004 Application of photosensitive BARC and KrF resist on implant layers
Author Affiliations +
Abstract
The IC industry is moving toward 90nm node and below. The CD size of implant layers has shrunk to 220nm. To achieve better CD uniformity, dyed KrF resist and top anti-reflective coating (TARC) are commonly used in advanced photo process of implant layers. It’s well known that bottom anti-reflective coating (BARC) has better reflection control over TARC. However, dry etching process is required if typical organic BARC is applied to photo process of implant layers. It is undesirable for two reasons. The first reason is the substrate damage caused by plasma etching could affect the device performance. The second reason is higher cost due to additional processing steps. In order to overcome those two shortcomings, developable BARC (DBARC) is introduced. It is a new type of BARC, which is soluble to developer, TMAH solution, in the resist development step. There are some reports on the developer-soluble KrF BARC. Most of them are polyamic acid and their solubility to alkline could be adjusted by changing bake condition. However, its development is isotropic, which make it difficult to get a vertical profile. Therefore, we have developed a photosensitive developer-soluble BARC (DBARC) which is anisotropic after exposure and thus results in a nice vertical profile. The photosensitive DBARC utilizes the same concept as chemically amplified resist. It has acid-cleavable groups in the resin and PAGs in the formulation. The photosensitive DBARC turns soluble to TMAH developer after exposure and resist PEB. The solubility difference caused by exposure makes developing process anisotropic and thus improves profile control. In this article, we will report the evaluation results of various combinations of KrF resists and DBARC for implant layers. Since both the resist and DBARC are photosensitive, matching of the photo speeds of them is essential. The amount and type of PAG in both the resist and the DBARC play a very import role. Finally, the optimized combination showed acceptable lithography process window and good CD uniformity over topography.
© (2004) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
D.C. Owe-Yang, Bang-ching Ho, Shinji Miyazaki, Tomohide Katayama, Kenji Susukida, Wenbing Kang, and Yung-Cheng Chang "Application of photosensitive BARC and KrF resist on implant layers", Proc. SPIE 5376, Advances in Resist Technology and Processing XXI, (14 May 2004); https://doi.org/10.1117/12.534735
Lens.org Logo
CITATIONS
Cited by 7 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Critical dimension metrology

Photoresist processing

Lithography

Dry etching

Semiconducting wafers

Antireflective coatings

Bottom antireflective coatings

Back to Top