Presentation + Paper
1 December 2022 Multibeam mask requirements for advanced EUV patterning
Author Affiliations +
Abstract
Multibeam mask writers (MBMW) from IMS Nanofabrication developed in the last decade are currently being used for leading edge mask patterning. The ability to utilize low sensitivity resists required to pattern complex mask patterns with good edge placement control made MBMW the tool of choice for leading edge extreme ultraviolet (EUV) mask patterning. The next generation of High-NA EUV masks will require smaller features, more complex figures and reduction of edge placement errors. These requirements may exceed the capability of the current MBMW tools. Recently IMS announced the next generation MBMW tools to address this challenge. This paper will explore the effectiveness of the proposed improvements on addressing High-NA EUV mask patterning challenges.
Conference Presentation
© (2022) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
M. Chandramouli, B. Liu, Z. Alberti, F. Abboud, G. Hochleitner, W. Wroczewski, S. Kuhn, C. Klein, and E. Platzgummer "Multibeam mask requirements for advanced EUV patterning", Proc. SPIE 12293, Photomask Technology 2022, 122930O (1 December 2022); https://doi.org/10.1117/12.2645895
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Line edge roughness

Line width roughness

Distortion

Electron beam lithography

Optical lithography

Back to Top