Paper
27 June 2019 Mask absorber development to enable next-generation EUVL
Author Affiliations +
Abstract
In next-generation EUV imaging for foundry N5 dimensions and beyond, inherent pitch- and orientation-dependent effects on wafer level will consume a significant part of the lithography budget using the current Ta-based mask. Mask absorber optimization can mitigate these so-called mask 3D effects [1-3]. Last year at the SPIE Photomask and EUVL conference [4,5], EUV mask absorber change is recognized by the community as key enabler of next-generation EUV lithography. Through rigorous lithographic simulations we have identified regions, based on the material optical properties and their gain in imaging performance compared to the reference Ta-based absorber [6]. In addition, we have established a mask absorber requirement test flow to validate the candidate material to the full mask supply chain. In this paper we discuss in more detail Te- and Ru- based alloys which cover these different improvement regions. Candidate materials are evaluated on film morphology, stability during combined hydrogen and EUV loading, and thermal and chemical durability. The EUV optical constants are measured by EUV reflectometry, and preliminary results of plasma etching are shown to enable patterning.
© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Vicky Philipsen, Kim Vu Luong, Karl Opsomer, Laurent Souriau, Jens Rip, Christophe Detavernier, Andreas Erdmann, Peter Evanschitzky, Christian Laubis, Philipp Hönicke, Victor Soltwisch, and Eric Hendrickx "Mask absorber development to enable next-generation EUVL", Proc. SPIE 11178, Photomask Japan 2019: XXVI Symposium on Photomask and Next-Generation Lithography Mask Technology, 111780F (27 June 2019); https://doi.org/10.1117/12.2537967
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Extreme ultraviolet lithography

Metals

Plasma etching

Etching

Optical properties

RELATED CONTENT

SAQP and EUV block patterning of BEOL metal layers on...
Proceedings of SPIE (March 24 2017)
EUV mask patterning approaches
Proceedings of SPIE (June 25 1999)
EUV mask absorber characterization and selection
Proceedings of SPIE (July 19 2000)
TaN EUVL mask fabrication and characterization
Proceedings of SPIE (August 20 2001)

Back to Top