Presentation + Paper
10 October 2018 Novel EUV mask absorber evaluation in support of next-generation EUV imaging
Author Affiliations +
Abstract
In next-generation EUV imaging for foundry N5 dimensions and beyond, inherent pitch- and orientation-dependent effects on wafer level will consume a significant part of the lithography budget using the current Ta-based mask. Mask absorber optimization can mitigate these so-called mask 3D effects. Thin metal absorbers like Ni and Co have been experimentally investigated due to their high EUV absorption, but they pose challenges on the current technology of subtractive mask patterning [1]. A simulation study of attenuated EUV phase shift masks has identified through multiobjective optimization superior imaging solutions for specific use cases and illumination conditions [2]. Evaluating novel EUV mask absorbers evolves on two levels, demonstrating (1) improvements from lithographic perspective and (2) compatibility with the full mask supply chain including material deposition, absorber patterning, scanner environment compatibility and mask lifetime. On the lithographic level, we have identified regions based on the material optical properties and their gain in imaging performance compared to the reference Ta-based absorber. Within each improvement region we engineered mask absorber materials to achieve both the required imaging capabilities, as well as the technical requirements for an EUV mask absorber. We discuss the material development of Te-based alloys and Ag-based layered structures, because of their high EUV extinction. For the attenuated phase shift materials, we start from a Ru-base material, due to its low refractive index, and construct Ru-alloys. On the experimental level, we examined our novel mask absorber materials against an initial mask absorber requirement list using an experimental test flow. Candidate materials are evaluated on film morphology and stability through thermal, hydrogen, EUV loading, and chemical cleaning, for their EUV optical constants by EUV reflectometry, as well as preliminary for selective dry etch. The careful mask absorber evaluation, combining imaging simulations and experimental material tests, allowed us to narrow down to promising combinations for novel EUV mask absorbers.
Conference Presentation
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Vicky Philipsen, Kim Vu Luong, Karl Opsomer, Christophe Detavernier, Eric Hendrickx, Andreas Erdmann, Peter Evanschitzky, Robbert W. E. van de Kruijs, Zahra Heidarnia-Fathabad, Frank Scholze, and Christian Laubis "Novel EUV mask absorber evaluation in support of next-generation EUV imaging", Proc. SPIE 10810, Photomask Technology 2018, 108100C (10 October 2018); https://doi.org/10.1117/12.2501799
Lens.org Logo
CITATIONS
Cited by 8 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Metals

Tellurium

Extreme ultraviolet lithography

Refractive index

Material characterization

RELATED CONTENT


Back to Top