Open Access Paper
12 November 2018 Front Matter: Volume 10810
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 10810, including the Title Page, Copyright information, Table of Contents, Author and Conference Committee lists.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in Photomask Technology 2018, edited by Emily E. Gallagher, Jed H. Rankin, Proceedings of SPIE Vol. 10810 (SPIE, Bellingham, WA, 2018) Seven-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510622159

ISBN: 9781510622166 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2018, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/18/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00003_PSISDG10810_1081001_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model. A unique citation identifier (CID) number is assigned to each article at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a seven-digit CID article numbering system structured as follows:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the seven-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first five digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Aghili, Ali, 0F

Alty, Hayden R., 0N, 11

Asano, Toshiya, 0F

Ausschnitt, Kit, 0T

Badger, Karen, 08

Bayle, S., 1D

Bork, Ingo, 0M

Bowhill, Amanda, 0L

Buck, Peter, 0L, 0M

Bürgel, Christian, 1G, 1H

Büttner, Rico, 1G

Calderón, Daniel, 05

Chao, Chih Hsuan, 1K

Chartoire, J., 1D

Chaudhary, Narendra, 1L

Chen, Ming, 1B

Chen, Sweet, 1K

Cheng, Jackie, 1K

Cheng, James, 1K

Cheng, Jeffrey, 1K

Cho, Wonil, 17

Choi, Jin, 0F

Choi, Yohan, 1K

Choo, Min, 17

Chou, Hsin Fu, 1K

Chou, Kevin, 14

Chou, William, 1K

Chua, Gek-Soon, 1H

Chung, Paul, 17

Cong, Linna, 0Z

Dahl, Victoria, 0R

Dai, Yaoxuan, 1E

Dattilo, Davide, 0R

DeRose, Guy A., 0N

Detavernier, Christophe, 0C

D’Have, Koen, 0T

Dietze, Sebastian, 0R

Dietze, Uwe, 0R

Donga, T., 0B

Durvasula, Bhardwaj, 0L, 0M

Eilert, André, 1G

Ekinci, Yasin, 0W, 0Y

Erdmann, Andreas, 0C

Evanschitzky, Peter, 0C

Fay, A., 1D

Fernandez, Sara, 0Y

Finken, Michael, 1G

Fowler, Trevor, 0N

Fujimura, Aki, 02

Gallagher, Emily, 0Y

Gao, Pengzheng, 1E

Garvey, Terence, 0W

Gilgenkrantz, Pascal, 0L

Girodon, A., 1D

Gladhill, Richard, 0Q

Green, Michael, 1K

Ham, Young, 1K

Hamaji, Masakazu, 1H

Hamaya, Zenichi, 0F

Han, Zhenxing, 0R

Hashimoto, M., 0O

Hazart, J., 1D

Heidarnia-Fathabad, Zahra, 0C

Helfenstein, Patrick, 0Y

Hellriegel, Ronald, 1G

Hendrickx, Eric, 0C

Herrmann, Mark, 1G

Heumann, Jan, 08

Hoshino, M., 0O

Hu, Xuerang, 14

Hübenthal, Frank, 1G

Hunt, Matthew S., 0N

Hur, JiUk, 17

Inderhees, Gregg, 17

Isogawa, Takeshi, 08

Ito, Y., 0B

Iwasa, Junji, 0E

Jang, IlYong, 17

Jeon, Chan-Uk, 17

Jiang, Wei, 1B

Jones, Chris, 0F

Kagawa, Masayuki, 08

Kageyama, Kagehiro, 1J

Kalsbeck, Bill, 17

Kang, In-Yong, 17

Kazazis, Dimitrios, 0Y

Kim, Stephen, 0L

Kotera, M., 0B

Kozawa, T., 0O

Kwon, Eric, 17

Latinwo, Folarin, 1A

Laubis, Christian, 0C

LeClaire, Jeff, 09

Lee, Adder, 1K

Lee, Hong Jen, 1K

Lee, Sang Kook, 0N

Leray, Philippe, 0T

Lewis, Scott M., 0N

Lewis, Scott M., 11

Li, Alan, 0Z, 1B

Li, Jarvis, 0N

Ling, Wenjun, 0Z

Liu, Xuedong, 14

Löffler, Oliver, 1G

Lu, Colbert, 1K

Lu, Max, 1B

Lucas, Kevin, 1A

Luong, Kim Vu, 0C

Ma, Eric, 14

Mariano Juste, Marina, 0Y

Mizuno, Makoto, 0F

Mochi, Iacopo, 0Y

Mochizuki, Satoru, 1J

Morimoto, K., 0B

Morosawa, Narihiro, 1J

Muryn, Christopher A., 0N

Nakagawa, Kent H., 0Q

Nakajima, A., 0O

Nestler, Rico, 1G

Noguchi, Yasunori, 1J

Opsomer, Karl, 0C

Palma, Diego, 05

Park, Minyoung, 0L

Philipsen, Vicky, 0C

Pollentier, Ivan, 0Y

Progler, Chris, 1K

Qin, Xuefei, 0Z

Rajagopalan, Archana, 0L, 0M

Rajeev, Rajendran, 0Y

Ramadan, Mohamed, 1K

Rao, Nageswara, 0L, 0M

Reddy, Murali, 0M

Ren, Weiming, 14

Resnick, Douglas J., 0E

Rivkin, Leonid, 0W

Robinson, Tod, 09

Sakai, Keita, 0F

Samayoa, Martin, 0R

Sato, Yutaro, 1H

Savari, Serap A., 1L

Scherer, Axel, 0N

Schiavone, P., 1D

Scholze, Frank, 0C

Schulze, Steffen, 0L, 0M

Seki, Junichi, 0F

Seo, JeongHun, 17

Shen, Jian, 1B

Shi, Irene, 0Z, 1B

Shiely, J. P., 04

Son, Suein, 17

Song, Hua, 1A

Steidel, Katja, 1G

Streun, Andreas, 0W

Su, Xiaojing, 1E

Tan, Alexander, 17

Tian, Eric, 1B

Timco, Grigore A., 0N

Timmermans, Marina, 0Y

Toda, Yusuke, 08

Torigoe, Yohei, 1H

Truffert, Vincent, 0T

Tsunoda, Dai, 1H

Twu, C. H., 1K

Tzeng, Josh, 1K

van de Kruijs, Robbert W. E., 0C

Wang, Fei, 14

Wang, Jie, 0Z

Wang, Yanrong, 1E

Wei, Yayi, 1E

Werthiem, Alex, 0N

Willis, Jan, 02

Winpenny, Richard E. P., 0N, 11

Wrulich, Albin, 0W

Wu, Rich, 1A

Xu, Fei, 1B

Xue, Fen, 0Z, 1B

Yan, Jiang, 1E

Yang, Delian, 1A

Yeates, Stephen G., 0N, 11

Yeddulapalli, S. S., 1L

Yonetani, Masashi, 08

Zhang, Jing, 1E

Conference Committee

Conference Chair

  • Emily E. Gallagher, IMEC (Belgium)

Conference Co-chair

  • Jed H. Rankin, GLOBALFOUNDRIES Inc. (United States)

BACUS Steering Committee

  • Frank E. Abboud, Intel Corporation (United States)

  • Michael D. Archuletta, RAVE LLC (United States)

  • Ki-ho Baik, HOYA Corporation (United States)

  • Artur P. Balasinski, Cypress Semiconductor Corporation (United States)

  • Uwe F. W. Behringer, UBC Microelectronics (Germany)

  • Peter D. Buck, Mentor Graphics Corporation (United States)

  • Brian Cha, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Derren Dunn, IBM Corporation (United States)

  • Thomas B. Faure, GLOBALFOUNDRIES Inc. (United States)

  • Aki Fujimura, D2S Inc. (Japan)

  • Emily E. Gallagher, IMEC (Belgium)

  • Brian J. Grenon, RAVE LLC (United States)

  • Jon Haines, Micron Technology, Inc. (United States)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Patrick M. Martin, Applied Materials, Inc. (United States)

  • Kent Nakagawa, Toppan Photomasks, Inc. (United States)

  • Jan Hendrik Peters, bmbg consulting(Germany)

  • Moshe Preil, KLA-Tencor Corporation (United States)

  • Jed H. Rankin, GLOBALFOUNDRIES Inc. (United States)

  • Douglas J. Resnick, Canon Nanotechnologies, Inc. (United States)

  • Thomas Scheruebl, Carl Zeiss SMT GmbH (Germany)

  • Thomas Struck, Infineon Technologies AG (Germany)

  • Bala Thumma, Synopsys, Inc. (United States)

  • Anthony Vacca, Automated Visual Inspection (United States)

  • Michael Watt, Shin-Etsu MicroSi, Inc. (United States)

  • Jim N. Wiley, ASML US, Inc. (United States)

  • Larry S. Zurbrick, Keysight Technologies, Inc. (United States)

Conference Program Committee

  • Frank E. Abboud, Intel Corporation (United States)

  • Uwe F.W. Behringer, UBC Microelectronics (Germany)

  • Peter Buck, Mentor, a Siemens Business (United States)

  • Byungcheol (Brian) Cha, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Lucien Bouchard, Photronics, Inc. (United States)

  • Thomas B. Faure, GLOBALFOUNDRIES Inc. (United States)

  • Aki Fujimura, D2S, Inc. (United States)

  • Brian J. Grenon, RAVE LLC (United States)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Izak Kapilevich, Applied Materials, Inc. (United States)

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Byung Gook Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Lloyd C. Litt, GLOBALFOUNDRIES Inc. (United States)

  • Paul A. Morgan, Micron Technology, Inc. (United States)

  • Kent H. Nakagawa, Toppan Photomasks, Inc. (United States)

  • Takahiro Onoue, HOYA Corporation (Japan)

  • Jan Hendrik Peters, BMBG Consult (Germany)

  • Moshe E. Preil, KLA-Tencor Corporation (United States)

  • Douglas J. Resnick, Canon Nanotechnologies, Inc. (United States)

  • Thomas Scherübl, Zeiss (Germany)

  • Yuyang Sun, Mentor Graphics Corporation (United States)

  • Bala Thumma, Synopsys, Inc. (United States)

  • Banqiu Wu, Applied Materials, Inc. (United States)

  • Shusuke Yoshitake, NuFlare Technology, Inc. (Japan)

Session Chairs

  • 1 Plenary Session: Joint session with conferences 10809 and 10810.

    Frank E. Abboud, Intel Corporation (United States)

    Patrick P. Naulleau, Lawrence Berkeley National Laboratory (United States)

  • 1a Invited Session

    Emily E. Gallagher, IMEC (Belgium)

    Jed H. Rankin, GLOBALFOUNDRIES Inc. (United States)

  • 2 Deep Learning and Advanced Data Analytics

    Peter Buck, Mentor, a Siemens Business (United States)

    Aki Fujimura, D2S, Inc. (United States)

  • 3 Mask Inspection, Metrology, and Repair

    Moshe E. Preil, KLA-Tencor Corporation (United States)

    Jed H. Rankin, GLOBALFOUNDRIES Inc. (United States) Selected Poster Speed Talks: Joint Session with conferences 10809 and 10810

    Emily E. Gallagher, IMEC (Belgium)

    Jed H. Rankin, GLOBALFOUNDRIES Inc. (United States)

    Kurt G. Ronse, IMEC (Belgium)

  • 4 EUV Mask Blanks: Joint Session with conferences 10809 and 10810

    Paul A. Morgan, Micron Technology, Inc. (United States)

    Takahiro Onoue, HOYA Corporation (Japan)

  • 5 Nanoimprint Lithography

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

    Douglas J. Resnick, Canon Nanotechnologies, Inc. (United States)

  • 6 Mask Write and MPC

    Bala Thumma, Synopsys, Inc. (United States)

    Shusuke Yoshitake, NuFlare Technology, Inc. (Japan)

  • 7 Mask Process and Resist

    Thomas B. Faure, GLOBALFOUNDRIES Inc. (United States)

    Banqiu Wu, Applied Materials, Inc. (United States)

  • 8 EUV Mask and Imaging: Joint Session with conferences 10809 and 10810

    Jo Finders, ASML Netherlands B.V. (Netherlands)

    Ted Liang, Intel Corporation (United States)

  • 9 EUV Inspection, Repair, and Verification: Joint Session with conferences 10809 and 10810

    Yasin Ekinci, Paul Scherrer Institut (Switzerland)

    Thomas Scherübl, Carl Zeiss SMT GmbH (Germany)

  • 10 EUV Pellicle and Metrology: Joint Session with conferences 10809 and 10810

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

    Byung Gook Kim, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)

Introduction

The 2018 SPIE Photomask Technology conference was held 17–19 September, in Monterey, California, co-located for the second time with the EUV Lithography conference. The organizers of the two conferences worked to blend the complementary interests of the two communities to craft a technical program that increased the range of topics while preserving long-held conference traditions. This year’s combined conference drew even more attendees than in 2017 and will continue as a joint event next year.

The photomask can be seen either as an enabler or as the limiter of lithography and, by extension, the semiconductor industry roadmap. Papers at the conference reflected both roles. There were traditional mask-making topics and forward-looking studies. Examples of the latter included: the application of deep learning to CDSEM image analysis, future mask absorber materials, and designs for future photoresists. New this year was the speed poster talk session, enabling poster authors to introduce their posters in brief talks to the conference attendees before the poster session. This gave the posters more visibility, while preserving the in-depth discussions that are possible during the poster session. The yearly panel discussion topic reflected the long, slow industry shift towards EUV: “Optical and EUV Masks: Analyzing the HVM Requirements and Capability Differences”. It also acknowledges a fact discussed in the 2018 Mask Maker’s Survey: fewer than 0.5% of all masks delivered in 2018 were EUV and the industry is still digesting what moving to HVM EUV manufacturing really looks like. While this introduction gives you a sense for the conference, the proceeding papers will be more specifically instructive.

Emily E. Gallagher

Jed H. Rankin

© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 10810", Proc. SPIE 10810, Photomask Technology 2018, 1081001 (12 November 2018); https://doi.org/10.1117/12.2518039
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet lithography

Extreme ultraviolet

Inspection

Metrology

Nanoimprint lithography

Electron beam lithography

Back to Top