Open Access Presentation + Paper
19 March 2018 EUV photolithography: resist progress and challenges
Author Affiliations +
Abstract
With the rapid development of semiconductors, today's optical lithography is approaching its physical limits, and thus alternative patterning technology is urgently desired. Extreme ultra-violet (EUV) lithography, using a wavelength of 13.5 nm, is considered one of the most prominent candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements following the ITRS roadmap. Though polymer-based CAR (chemically amplified resist) is the current standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, our recent progress in metal oxide nanoparticle photoresist research will be discussed. Brief discussion of a number of important structure and property issues pertaining to key characteristics affecting resist performance is also included.
Conference Presentation

1.

INTRODUCTION

Currently, industry has been relying on 193nm immersion lithography with multi patterning to print fine features on resist materials. Extreme ultraviolet (EUV) lithography is anticipated to succeed 193nm immersion lithography to meet the demands of the sub-10nm node1. EUV lithography is already capable of achieving sub-13nm half pitch resolution in a single exposure2. However, owing to challenges which must be addressed such as low EUV source power and the RLS (Resolution – LWR – Sensitivity) tradeoff, EUV lithography has not yet been applied to semiconductor manufacturing. Nevertheless, the effort to realize this method has been continuing on both hardware and material side.

The trend of ever decreasing feature sizes in subsequent lithography generations is paralleled by the need to reduce resist thickness to prevent pattern collapse. Thinner films limit the ability to transfer the pattern to the substrate during etch steps, for the sub-30 nm node, the critical aspect ratio will be less than 2:1, meaning 40~60 nm thick resists will be commonplace. Secondly, as the feature size has continued decreasing, the size of polymer chains in conventional photoresists, with a root-mean-square end-to-end distance of 6-10 nm3, suggests that defects in the pattern edge areas will be greater than 3-5 nm, which cannot be neglected compared with the decreasing target feature size (sub-30 nm). To address this problem, we have developed an inorganic-organic hybrid nanoparticle system with significantly higher etch resistance and small particle size. These nanoparticle photoresists show promising lithographic performance using DUV, e-beam and in particular EUV exposure, meanwhile, their superior etch resistance and extremely small constituent size, are clear advantages for ultimate resolution patterning.

2.

RESULT AND DISCUSSION

2.1

Discovery of Hafnium-oxide Nanoparticle based Photoresists

In 2010, we reported our first example of a hafnium oxide nanoparticle resist4. The hafnium oxide nanoparticles were used as a core to build the inorganic nanocomposite into an imageable photoresist. Selected ligands on the surface of the nanoparticles gave them unique properties, allowing these films to act as positive or negative tone photoresists for 193 nm or electron beam lithography. In this work4, two different methods were introduced to produce nanoparticles that can form stable suspensions in either water or organic solvents: 1) controlled hydrolysis of hafnium iso-propoxide at 65°C for 21 hours which results in the formation of a white precipitates, which was isolated via centrifugation and then washed with acetone; and 2) surface modification of the nanoparticles, in which surface ligands are replaced by other carboxylic acids via a direct ligand exchange reaction.

2.1.1

Characterization of Hafnium-oxide Nanoparticles

Hydrolysis and condensation of hafnium iso-propoxide led to the formation of a Hf-O-Hf network which is similar to hafnium oxide. Infrared spectra of the hafnium oxide nanoparticles indicates both the anionic and the protonated forms of the carboxylic acid are present, suggesting the ligation to Hf ions is either mono- or bi-dentate. Due to the low synthesis temperature, the nanoparticles are non-crystalline species with large numbers of uncondensed OH groups, which was evidenced by an obvious peak at 3300 cm-1 peaks in the IR spectrum. Depending on the different surface ligands that are used, the nanoparticles can form stable suspensions in either water or organic solvents like propylene glycol methyl ether acetate (PGMEA), and in both cases, the size distribution is very narrow with particle diameters ranging from 1-3 nm, as evidenced by dynamic light scattering. The small particle sizes are ideal for the application of the nanoparticles as an inorganic photoresist, since the scattering of light by such small particles is minimized and the absorption peak of hafnium oxide is blue shifted due to quantum confinement effects. Additionally, smaller particle sizes are expected to contribute to a lower line edge roughness (LER) value on the relief images of the photoresist.

Thermogravimetric analysis (TGA) of the hafnium oxide nanoparticles, show the weight loss occurs in two distinct steps: the first step up to 150 °C are attributed to loss of adsorbed solvents on the nanoparticle surface; second step occurs at 300-450 °C corresponds to the decomposition of the organic acid. The total organic content of the powders varies from 25-35 wt% depends on ligand, while the remaining 65-75% is the inorganic core of the nanoparticles. High inorganic content of the nanoparticles is expected to contribute to the high etch resistance of the photoresist due to the chemical stability of hafnium oxide.

2.1.2

Lithographic Potential of Hafnium-oxide Nanoparticles

Uniform thin films can be spin-coated on Si wafers using either aqueous or PGMEA dispersions of the nanoparticles. Typically, a dispersion containing 5-20 wt% nanoparticles was spin-coated for 60s at 1000-3000 rpm. By varying the nanoparticle loading in the dispersion and the spin speed, the film thickness can be controlled from 30 nm to 200 nm.

We further found the nanoparticle films with 2,2-dimethoxy-2-phenyl acetophenone (DPAP) as photo-initiator can act both as positive and negative tone photoresists (as showed in Fig. 1)4. After exposure under deep-UV light (254 nm or 193 nm), development in isopropyl alcohol or t-amyl alcohol gives a negative tone image; on the other hand, if exposure is followed by baking (PEB) at 130 °C for 3 min and then developing in tetrametylammonium hydroxide (TMAH) leads to positive tone patterns. In this work, using electron beam lithography, 50 nm 1:1 line-and-space negative patterns were achieved at the exposure dose of 103 μC/cm2. Meanwhile, such hafnium oxide nanoparticle patterns demonstrated excellent etch resistance towards SF6/O2 plasma, was 2-3 times more resistant than the poly-hydroxy styrene (PHOST) films, which is the most robust polymeric resist.

Figure 1.

Optical microscope and SEM images of patterns printed on the nanoparticle resist using deep-UV lithography, positive tone (left) and negative tone (right). Reproduced with permission of Proc. SPIE 7639, 76390E (2010). Copyright 2010 SPIE, the International Society for Optics and Photonics.

00002_PSISDG10583_1058306_page_2_1.jpg

2.1.3

Lithographic Performance Improvement of HfO2-NP Resists

In 2011, we reported the improvement of lithographic and etch performance for this hafnium oxide nanoparticle5,6. As showed in Fig. 2, under electron beam lithography, 40 nm 1:1 line-and-space negative patterns were achieved at the exposure dose of 30 μC/cm2. Compare with previous report4, the etch resistance was significantly improved: 1) the pristine hafnium oxide nanoparticle patterns showed 9 times higher etch resistance of PHOST; 2) after being further optimized via post-development baked at 200°C for 2 minutes, the etch resistance was 43 times that of PHOST; 3) the highest etch performance was obtained by O2 pre-treated nanoparticle film, and achieved 68 times more etch resistance than PHOST (as showed in Fig. 2). As feature sizes continue to decrease, film thick ness must be reduced in order to prevent pattern collapse, however, normally thinner films prevent sufficient pattern transfer during the etch process. Thus, the excellent etch resistance of hafnium oxide nanoparticle films is very promising to be used as an ultra-thin resist film capable of sub-30nm patterns and beyond.

Figure 2.

(A) SEM image of Hf nanoparticle resist patterned with e-beam lithography; (B) Etch rates of Hf nanoparticle films with various pre-etch treatments relative to the etch rate of PHOST; SEM of line/space pattern sidewalls after CF4 etch (C) and SF6/O2 (D). Reproduced with permission of Proc. SPIE 7972, 79721C (2011). Copyright 2011 SPIE, the International Society for Optics and Photonics.

00002_PSISDG10583_1058306_page_3_1.jpg

2.2

Development of Zirconium-oxide Nanoparticle based Photoresists

Based on these initial efforts in hafnium-oxide nanoparticle based photoresists, in 2012 we reported our first example of the zirconium oxide nanoparticle based resist7,8. The zirconium oxide nanoparticles stabilized with carboxylic acid ligands were prepared by a controlled hydrolysis reaction. Zirconium iso-propoxide was dissolved in an excess of carboxylic acid solvent at 65 °C followed by the slow addition of a water/carboxylic acid mixture. After stirring for 21 hours the product was precipitated by addition of water, isolated via centrifugation and washed with acetone and water to removed excess carboxylic acid ligands. Utilizing photo-acid generator (PAG) as photoactive compound, ZrMAA (zirconium oxide nanoparticle stabilized with methacrylic acid (MAA) ligands) is patternable under deep-UV and electron beam exposure, and similar with hafnium oxide nanoparticles, both positive and negative tone patterns can be obtained depending on the developing conditions4.

Furthermore, under the extreme ultraviolet (EUV) exposure at Lawrence Berkeley National Laboratory (LBNL), 32 nm wide patterns with a LER (line-edge roughness) of 5.9 nm, 26 nm wide patterns with a LER of 3.8 nm were obtained, under the dose of 5.6 mJ/cm2 and 4.2 mJ/cm2, respectively (as shown in Fig. 3). This is the highest sensitivity of any EUV resist that has been reported in the period of the paper7. Considering the low intensity issue of many EUV sources, such superior sensitivity may be critical.

Figure 3.

Negative tone patterns after EUV exposure of the ZrMAA photoresist with a PAG additive: (A) 32 nm lines at 5.6 mJ/cm2 and (B) 26 nm lines at 4.2 mJ/cm2. Reproduced with permission of Proc. SPIE 8322, 83220U (2012). Copyright 2012 SPIE, the International Society for Optics and Photonics.

00002_PSISDG10583_1058306_page_4_1.jpg

2.2.1

Developer and Ligand Study of ZrO2-NP Photoresists

In 2013 we reported the further exploration of the zirconium oxide nanoparticle photoresists9. Three kinds of different non-aqueous solvents, alcohol, acetate and ketone were studied in this work (as showed in Fig. 4). All these solvents showed high contrast and the alcohol solvents showed the best sensitivity and contrast, which was attributed to the slower dissolution rate of ZrMAA nanoparticles in alcohols. As a result, a low exposure dose of 10 μC/cm2 was achieved by the alcohol developers, which is much lower than acetate- (40 μC/cm2) and ketone-developers (55 μC/cm2), under electron beam lithography.

Figure 4.

e-beam patterning results of ZrMAA with different developers. Reproduced with permission of Proc. SPIE 8682 (2013). Copyright 2013 SPIE, the International Society for Optics and Photonics.

00002_PSISDG10583_1058306_page_4_2.jpg

In 2014, we reported the improvement of lithographic performance of the zirconium oxide nanoparticles by different ligands 10,11. The trans-dimethyl acrylic acid (DMA) was employed to replace the methacrylic acid (MAA) as the carboxyl ligand in the zirconium-oxide/hafnium-oxide nanoparticles, the synthesis condition was similar with the ZrMAA/HfMAA reported previously7,8. The resulting nanoparticles demonstrate extremely high EUV sensitivity, the HfO2-DMA achieved 30 nm and 20 nm patterns at a dose of 2.4 mJ/cm2, whereas, ZrO2-DMA has a dose to pattern of 1.6 mJ/cm2 (as showed in Fig. 5) Attainment of such sensitivity and resolution does have a tradeoff with the pattern roughness, with LER values ranging from 5-7 nm.

Figure 5.

EUV lithography demonstrating 20 nm and 30 nm line-and-spcae patterns on ZrO2-DMA and HfO2-DMA resists films with very high EUV sensitivity. Reproduced with permission of Proc. SPIE 9048, 90481C (2014). Copyright 2014 SPIE, the International Society for Optics and Photonics.

00002_PSISDG10583_1058306_page_5_1.jpg

2.2.2

Photo-initiator Study of ZrO2-NP Photoresists

In 2016, our group studied a number of photo-initiators using ZrO2-nanoparticle based photoresists12. The photo-initiators include different photo-acid generators as well as photo-base generators. ZrO2-nanoparticle based photoresist with reported reference PAG –– N-hydroxynaphthalimide triflate (marked as reference PAG), triphenylsulfonium triflate (TPST) and a high-dissolution-rate PAG (marked as PAG-A) were evaluated using quartz crystal microbalance (QCM) and electron beam lithography. From QCM evaluation, TPST shows higher dissolution rate than the reference PAG, while the PAG-A demonstrates the highest dissolution rate (as shown in Fig. 6A). Meanwhile, under e-beam exposure, PAG-A showed better resolution compared to reference PAG and TPST (Fig. 6B). Based on this result, the ZrO2-nanoparticle – PAG-A system was further studied using EUV exposure. As shown in Fig. 6C, 28, 26, 24, 22 and 20nm half pitch patterns can be achieved.

Figure 6.

(A) QCM evaluation result; (B) PAG evaluation result with e-beam exposure; (C) PAG-A evaluation result with EUV exposure at below 30nm half pitch patterns; (D) PBG evaluation result with EUV exposure at below 30nm half pitch patterns. Reproduced with permission of Proc. SPIE 9776, 977604 (2016). Copyright 2016 SPIE, the International Society for Optics and Photonics.

00002_PSISDG10583_1058306_page_6_1.jpg

On the other hand, ZrO2-nanoparticle – photobase generators systems are also proved to be imageable, and achieved 30 nm half pitch patterns under EUV exposure. Resolution using PBG system, especially PBG-B, seems to be better than the reference PAG. Though the sensitivity with PBG is slightly slower than PAG, sensitivity below 20 mJ is definitely faster than traditional CAR type photoresists (as shown in Fig. 6D).

2.2.3

Scum Improvement of ZrO2-NP Patterns using High-dissolution-rate Developer

More recently, our group reported the use of high-dissolution-rate developer for scum improvement13. The standard developer-A and a new developer-B were compared by QCM evaluation. As shown in Fig. 7, the ZrO2-nanoparticle developer-B demonstrates higher dissolution rate than developer-A. Similar with the high-dissolution-rate PAG (discussed in previous section), the use of high-dissolution-rate developers also decreases the scums during patterning, as shown in Fig. 7, scum-free 35 nm line-and-space patterns are achieved with developer-B under e-beam lithography.

Figure 7.

QCM, e-beam and EUV exposure result with new developer-B. Reproduced with permission of Proc. SPIE 10143, 1014308 (2017). Copyright 2017 SPIE, the International Society for Optics and Photonics.

00002_PSISDG10583_1058306_page_7_1.jpg

2.2.4

Scum Improvement of ZrO2-NP Patterns by controlling molecular weight distribution

The question though that needs to be addressed herein is what is causing these scumming phenomena and its more than necessary to understand the exact structure of our compounds. To that end, we have started using Electrospray ionization mass spectrometry (ESI-MS)14. Beyond identifying molecular weight, mass spectroscopy proved to be an attractive tool in order to identify the exact number of metal atoms present in the various clusters. Since metals used, have a high number of isotopes, the ESI-MS spectra provide unique fragmentation patterns composed of a series of peaks with different intensities, which are characteristic of the number of metal atoms present in the clusters. The patterns are mainly determined by the number of metal atoms in the cluster, since the other elements (O, C, H) can contribute to the overall molecular weight but not to the unique fingerprint of different fragments.

Another very interesting fact was that that the way we isolate our particles, affects the type of molecular species present in the resist precursor. For example, if we follow our usual protocol for the isolation of clusters, we obtain what is shown in Fig. 8a; deviating from our standard protocol though, can change the MW distribution outcome as someone can see in Fig. 8 b, c for ZrMAA.

Figure 8.

Zr-MAA cluster MW distribution outcome changing the isolation method. Reproduced with permission of J. Micro/Nanolithography, MEMS, and MOEMS 16 (2017). Copyright 2017 SPIE, the International Society for Optics and Photonics.

00002_PSISDG10583_1058306_page_7_2.jpg

As far as scumming phenomena our ESI-MS studies proved indispensable in our ability to correlate scumming to the size of the clusters in the photoresist. To the best of our knowledge this is the first time that the technique has been used to provide such information. Fig. 9 shows the mass spectra of Zr-MAA and two other metal oxide experimental photoresists based on clusters of different metal cores and ligands. Comparing the SEM images (for better comparison all images shown are 50nm line and space pattern) after development with the ESI-MS spectra of the different resists, suggests that there is a connection between scumming and molecular weight and distribution of the photoresists; higher MW with a broad distribution seems to lead to more scumming after development.

Figure 9.

ESI-MS and SEM images after development of different metal oxide photoresists. Reproduced with permission of J. Micro/Nanolithography, MEMS, and MOEMS 16 (2017). Copyright 2017 SPIE, the International Society for Optics and Photonics.

00002_PSISDG10583_1058306_page_8_1.jpg

2.2.5

Scum Improvement of ZrO2-NP Patterns by Mono-crystal Resists

Among zirconium oxide nanoparticle type photoresists, the methacrylic acid (MAA) modified zirconium oxide nanoparticles (ZrO2-MAA-NP) gives out the best over-all-performance. Under EUV exposure, 26 nm wide patterns with a dose of 4.2 mJ cm-2 have been achieved using ZrO2-MAA-NP7. However, their relatively broad size distribution (2 ~ 5 nm) causes poor roughness and bridging problems, which prevent further improvement of resolution. The ZrO2-nanoparticles prepared with our old method4-9,11-14 were white powders (as showed in Fig. 10 A), very recently, we have successfully isolated the pure ZrO2-nanoparticle as crystals (Fig. 10 B). From the dynamic light scattering profile, such ZrO2-MAA crystal demonstrates a decreased particle size (1.7 nm), which was about 3.6 nm in the case of ZrO2-MAA nanoparticles. Meanwhile, due to the particles from ZrO2-MAA crystal is pure, its size distribution is much narrow than ZrO2-MAA nanoparticles (as showed in Fig. 10 D).

Figure 10.

(A) appearance of ZrO2-MAA nanoparticle; (B) appearance of ZrO2-MAA crystal; (C) PGMEA solution of ZrO2-MAA crystal; (D) DLS spectra of ZrO2-MAA nanoparticle and ZrO2-MAA crystal; (E) ESI-MS spectra of ZrO2-MAA crystal.

00002_PSISDG10583_1058306_page_9_1.jpg

Furthermore, under electrospray ionization mass spectrometry, the major peak at 1705 is identical to what we have found in our previous ZrO2-MAA nanoparticles14. Now this peak is assigned to Zr6(OH)4O4(MAA)12, and the peak at 1619 was assigned to its ionized species [Zr6(OH)4O4(MAA)11]+1 (as showed in Fig. 10 E).

As discussed at section 2.2.4, the ZrO2-MAA at 1705 was the major component of our ZrO2-MAA nanoparticles, and the corresponding clusters at 2992 and 3950 are possibly the side products. The lithographic process is relying on the solubility change upon UV radiations, patterning quality is largely determined by homogeneity of solubility changes. On the other hand, as the purity increases, resists’ solubility under spin-coating solvents need to be considered. However, for this ZrO2-MAA crystal, it can be dissolved in the commonly used spin-coating solvent propylene glycol monomethyl ether acetate (PGMEA) as 20 wt% solution (as showed in Fig. 10 D), although it is highly pure and we dissolve it with its crystal form.

Particles with a broad size distribution are accompanied with a broad solubility distribution, which results in scums and defects at the line areas. Thus narrow- or mono-distributed nanoparticles are expected to own higher potential to obtain good lithographic performances. The preliminary lithographic performance was evaluated using 248 nm DUV radiation, as shown in Fig. 11, the ZrO2-MAA crystals demonstrate good 1:1 line-and-space patterns with a feature size from 500 nm to 250 nm. No bridging problems or scums can be observed in the unexposed areas, however, large amounts of residues can be easily found at unexposed areas in the case of ZrO2-MAA nanoparticles. Further structure analysis and lithography tests including EUV lithography patterning tests are ongoing using this ZrO2-MAA crystal.

Figure 11.

SEM images of DUV exposure result with ZrO2-MAA nanoparticle, (A) 500 nm (B) 250 nm 1:1 line-and-space patterns; SEM images of DUV exposure result with ZrO2-MAA crystal, (C) 500 nm (D) 250 nm of 1:1 line-and-space patterns.

00002_PSISDG10583_1058306_page_10_1.jpg

2.3

New metal cores

In the past few years, our main effort was focus on the ZrO2- and HfO2-nanoparticle based photoresists. However, the Zr and Hf are relatively low EUV absorbing metal15, and integration of high EUV absorption elements was considered to be one promising route to further improve lithographic performance under EUV radiation. Under this notion, we developed a series of novel high EUV absorption metal based nanoparticles, including Titanium, Zinc, Indium and Tin13. As shown in Fig. 12A and B, good contrast was observed with Zr, Hf, Ti, Zn, In and Sn type nanoparticle photoresists using 150 mJ/cm2 exposure dose, a typical value at 248 nm exposure.

Figure 12.

(A) optical microscope images of micro-scale patterning using the ABM contact aligner; (B) SEM images of nanoscale patterning using an ASML DUV stepper; (C) SEM images of EUV exposure result with new metal core at PSI. Reproduced with permission of Proc. SPIE 10143, 1014308 (2017). Copyright 2017 SPIE, the International Society for Optics and Photonics.

00002_PSISDG10583_1058306_page_11_1.jpg

Inspired by these results, we further performed EUV exposure on these new nanoparticles at PSI. 25nm LS at 29.06 mJ/cm2, 18nmLS at 78.37 mJ/cm2 and 16nmLS at 82.35 mJ/cm2 were achieved with new metal core. As shown in Fig. 12C, no scum was observed between patterns and this tendency is totally different from Zr type nanoparticle photoresist as described above. Higher EUV absorbance and smaller particle size with the new metal core than Zr type nanoparticle is considered to be the reason for this lithography performance improvement.

3.

CONCLUSION

Following Moore’s law, the microelectronics industry is focusing on the manufacture of increasingly small nanostructures, while at the same time has to deal with the accompanying challenges; nanostructures must be reliable, reproducible and inexpensive. The rapid progress of resist technology by virtue of the changes in radiation sources has led to resists which show better functionality and potential for feature sizes of just a few tens of nanometers.

We have attempted through this article to give an overview of our route and eventually contribute to upcoming EUV lithography technologies, while working to understand better these new photoresists materials. Though polymer resists constitute a major part of the state-of-the-art materials in photolithography, as dimensions and radiation sources have changed, entirely new resist platforms which are specially designed for EUV lithographic requirements are highly desired. The metal oxide nanoparticle based photoresists show promising lithographic performance under EUV radiation, besides, their superior etch resistance and extremely small constituent size, are clear advantages for ultimate resolution patterning.

4.

ACKNOWLEDGEMENTS

The authors gratefully acknowledge JSR Corporation for funding, as well as LBNL, IMEC and PSI for EUV exposure opportunities. This work was performed in part at the Cornell NanoScale Facility, a member of the National Nanotechnology Coordinated Infrastructure (NNCI), which is supported by the National Science Foundation (Grant ECCS-1542081).

5.

5.

REFERENCES

[2] 

Hori, M., Naruoka, T., Nakagawa, H., Fujisawa, T., Kimoto, T., Shiratani, M., Nagai, T., Ayothi, R., Hishiro, Y., Hoshiko, K. & Kimura, T., “Novel EUV resist development for sub-14nm half pitch,” in Proc. SPIE, 94220P (2015). Google Scholar

[3] 

Patsis, G. P., Constantoudis, V. & Gogolides, E., “Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations. Microelectron,” Eng., 75 297 –308 (2004). Google Scholar

[4] 

Trikeriotis, M., Bae, W. J., Schwartz, E., Krysak, M., Lafferty, N., Xie, P., Smith, B., Zimmerman, P. A., Ober, C. K. & Giannelis, E. P., “Development of an inorganic photoresist for DUV, EUV, and electron beam imaging,” in Proc. SPIE, 76390E (2010). Google Scholar

[5] 

Krysak, M., Somervell, M. H., Trikeriotis, M., Schwartz, E., Lafferty, N., Xie, P., Smith, B., Zimmerman, P., Montgomery, W., Giannelis, E. & Ober, C. K., “Development of an inorganic nanoparticle photoresist for EUV, e-beam, and 193nm lithography,” in Proc. SPIE, 79721C (2011). Google Scholar

[6] 

Krysak, M., Trikeriotis, M., Schwartz, E., Lafferty, N., Xie, P., Smith, B., Zimmerman, P., Montgomery, W., Giannelis, E. & Ober, C. K., “Development of an Inorganic Nanoparticle Photoresist for EUV, E-beam and 193 nm Lithography,” in Proc. SPIE, (2011). Google Scholar

[7] 

Trikeriotis, M., Wood Ii, O. R., Krysak, M., Chung, Y. S., Ouyang, C., Cardineau, B., Brainard, R., Ober, C. K., Giannelis, E. P. & Cho, K., “A new inorganic EUV resist with high-etch resistance,” in Proc. SPIE, 83220U (2012). Google Scholar

[8] 

Trikeriotis, M., Krysak, M., Chung, Y. S., Ouyang, C., Cardineau, B., Brainard, R., Ober, C. K., Giannelis, E. P. & Cho, K., “Nanoparticle Photoresists from HfO2 and ZrO2 for EUV Patterning,” J. Photopolym. Sci. Technol., 25 583 –586 (2012). https://doi.org/10.2494/photopolymer.25.583 Google Scholar

[9] 

Ouyang, C. Y., Chung, Y. S., Li, L., Neisser, M., Cho, K., Giannelis, E. P. & Ober, C. K., “Non-aqueous negative-tone development of inorganic metal oxide nanoparticle photoresists for next generation lithography,” in Proc. SPIE, (2013). Google Scholar

[10] 

Jiang, J., Chakrabarty, S., Yu, M. F. & Ober, C. K., “Metal Oxide Nanoparticle Photoresists for EUV Patterning,” J. Photopolym. Sci. Technol., 27 663 –666 (2014). https://doi.org/10.2494/photopolymer.27.663 Google Scholar

[11] 

Chakrabarty, S., Sarma, C., Li, L., Giannelis, E. P. & Ober, C. K., “Increasing sensitivity of oxide nanoparticle photoresists,” in Proc. SPIE, 90481C (2014). Google Scholar

[12] 

Kasahara, K., Kosma, V., Odent, J., Xu, H., Yu, M., Giannelis, E. P. & Ober, C. K., “Recent progress in nanoparticle photoresists development for EUV lithography,” in Proc. SPIE, 977604 (2016). Google Scholar

[13] 

Kasahara, K., Xu, H., Kosma, V., Odent, J., Giannelis, E. P. & Ober, C. K., “Nanoparticle photoresist studies for EUV lithography,” in Proc. SPIE, 1014308 (2017). Google Scholar

[14] 

Kosma, V., Kasahara, K., Xu, H., Odent, J., Ober, C. K. & Giannelis, E. P., “Elucidating the patterning mechanism of zirconium-based hybrid photoresists,” J. Micro/Nanolithography, MEMS, and MOEMS, 16 (2017). https://doi.org/10.1117/1.JMM.16.4.041007 Google Scholar

[15] 

Henke, B. L., Gullikson, E. M. & Davis, J. C., “X-Ray Interactions: Photoabsorption, Scattering, Transmission, and Reflection at E = 50-30,000 eV, Z = 1-92. At,” Data Nucl. Data Tables, 54 181 –342 (1993). https://doi.org/10.1006/adnd.1993.1013 Google Scholar
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Christopher K. Ober, Hong Xu, Vasiliki Kosma, Kazunori Sakai, and Emmanuel P. Giannelis "EUV photolithography: resist progress and challenges", Proc. SPIE 10583, Extreme Ultraviolet (EUV) Lithography IX, 1058306 (19 March 2018); https://doi.org/10.1117/12.2302759
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Nanoparticles

Photoresist materials

Oxides

Extreme ultraviolet lithography

Extreme ultraviolet

Lithography

Etching

Back to Top