Presentation + Paper
30 October 2017 Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography
Author Affiliations +
Abstract
To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore’s law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to ~ +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non-telecentricity. We will explore the solutions needed to mitigate these effects and to offer high quality imaging to be able to meet the required EPE performance in both orientations.
Conference Presentation
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Eelco van Setten, Gerardo Bottiglieri, Laurens de Winter, John McNamara, Paul Rusu, Jan Lubkoll, Gijsbert Rispens, Jan van Schoot, Jens Timo Neumann, Matthias Roesch, and Bernhard Kneer "Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography", Proc. SPIE 10450, International Conference on Extreme Ultraviolet Lithography 2017, 104500W (30 October 2017); https://doi.org/10.1117/12.2280624
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications and 3 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Nanoimprint lithography

Photomasks

Extreme ultraviolet

Reflectivity

Scanners

Mirrors

RELATED CONTENT


Back to Top